EDA实验报告总结:组合逻辑电路的设计.docVIP

EDA实验报告总结:组合逻辑电路的设计.doc

  1. 1、本文档共13页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
组合逻辑电路的设计 实验目的 通过实验让用户逐步了解、熟悉和掌握FPGA开发软件Quartus ΙΙ 的使用方法及VHDL的编程方法。 本实验力求以详细的步骤和讲解让读者以最快的方式了解EDA技术开发以及软件的使用而快速入门,并激起读者对EDA技术的兴趣。 了解二选一数据选择器工作原理。 实验内容 本实验的内容是用Quartus II 9.0 建立一个简单的组合逻辑硬件电路(二选一多路选择器)。 具体包括: 使用Quartus ΙΙ建立工程; Quartus ΙΙ工程设计; 设置编译选项并编译硬件系统; 实验步骤 使用Quartus ΙΙ建立工程 打开QuartusII软件并建立工程 在Windows桌面上选择“开始”→“程序”→“Altera”→Quartus ΙΙ 9.0,打开Quartus ΙΙ 9.0软件,软件界面如图1所示。 图1 Quartus ΙΙ 软件界面 在图1中选择File→New Project Wizard来新建一项工程。注意不要把New误以为New Project Wizard。新建工程向导说明如对话框图2所示。 图2 新建工程向导说明对话框 在图2中可以了解在新建工程的过程中要完成哪些工作,这些工作包括:指定项目目录、名称和顶层实体;指定项目设计文件;指定该设计的Altera器件系列;指定用于该项目的其他EDA工具;项目信息报告。 在图2中单击Next进入如图3所示对话框。 任何一项设计都是一项工程Project,必须首先为此工程建立一个放置与此工程相关的所有文件的文件夹,此文件夹将被Quartus ΙΙ默认为工作库(Work Library)。一般来说不同的设计项目最好放在不同的文件夹中,而同一工程的所有文件都必须放在同一文件夹中。 图3中的第一栏用于指定工程所在的工作库文件夹;第二栏用于指定工程名,工程名可以取任何名字,也可以直接用顶层文件的实体名作为工程名(建议使用);第三栏用于指定顶层文件的实体名。本例工程的路径为F:\EDA\zh,工程名为f_adder。 图3 新建工程路径、名称、顶层实体指定对话框 单击Next进如图4所示对话框。由于是新建工程,暂无输入文件。 图4 新建工程添加文件对话框 单击Next,进入图5所示对话框。在该对话框中指定目标器件,这里我们选择的是QuikSOPC核心板上用的Cyclone系列的EP1C12Q240C8。 图5 新建工程器件选择对话框 在图5右边的Filter(过滤器)选项中,可以通过指定封装、引脚数以及器件速度等级来加速器件查找的速度。指定完器件后,单击Next进入图6所示对话框。本实验利用Quartus ΙΙ的集成开发环境开发,不使用任何EDA工具,因此这里不作任何改动。 图6 新建工程EDA工具设置对话框 单击图6中的Next进入图7所示对话框。从该对话框中,可以看到工程文件配置信息报告。单击Finish,完成新建工程的建立。 图7 新建工程配置信息报告对话框 需要注意的是,建立工程后,还可以根据设计中的实际情况对工程进行重新设置,可选择Assignment→Settings(或工具栏上的按钮)进行设置。 建立图形设计文件 Quartus ΙΙ 图形编辑器也成为块编辑器(Block Editor),用于以原理图(Schematics)和结构图(Block Diagrams)的形式输入和编辑图形设计信息。Quartus ΙΙ 的块编辑器可以读取并编辑结构图设计文件(Block Design Files)和MAX+Plus ΙΙ 图形设计文件(Graphic Design Files)。可以在Quartus ΙΙ 软件中打开图形设计文件并将其另存为结构图设计文件。 在Quartus ΙΙ 主界面中,选择File→New打开如图8所示对话框。 在图8所示对话框中选择Block Diagram/Schematic Files,单击OK建立一个空的图形设计文件,默认名为Block1.bdf。 图8 新建文件对话框 在Quartus ΙΙ 主界面中,选择File→Save As打开将bdf文件存盘的对话框,如图9所示。在该对话框中接受默认的文件名,并选中Add File to current project 选项,以使该文件添加到工程中。 图9 BDF文件存盘对话框 至此,便完成了顶层模块的建立。接下来应该将VHDL语言生成的模块加入到顶层模块中。 建立文本编辑文件 Quartus ΙΙ 的文本编辑器是个非常灵活的编辑工具,用以AHDL、VHDL、和Verilog HDL语言形式以及Tcl脚本语言输入文本型设计,还可以在该文本编辑器下输入、编辑和查看其它ASCII文本文件。在这里要建立的是VHDL文件,建立的流程与建立图形设计文件一样。 在创建好一个设计工

文档评论(0)

byzztbyzztfc1 + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档