基于VHDL的出租车计费器设计稿毕业专业论文.docVIP

基于VHDL的出租车计费器设计稿毕业专业论文.doc

  1. 1、本文档共26页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
基于VHDL的出租车计费器设计 摘 要 近年来,随着人们生活水平的提高,出租车已经成为人们出行必不可少的交通工具之一。而出租车计费器是出租车上的一个重要仪器,它是乘客与司机双方的公平交易准则。在出租车的需求量不断加大的情况下,对出租车计费器系统的稳定性,灵活性的要求增加,具有良好性能的计费器对于司机和乘客都是很有必要的。本文设计了一个基于VHDL的出租车计费器系统,该系统分为计费和显示两大模块。计费模块是实现系统功能的核心,根据输入信号,将里程、计费和等候时间以BCD码形式显示出来,显示模块将其译码轮流扫描显示。本设计首先在QuartusⅡ软件中,用VHDL语言对各个模块进行设计,其次画出顶层原理图,然后对顶层文件进行仿真测试,最后将仿真成功的设计文件下载到EDA实验箱进行验证。验证结果具有计时、计里程、计费等功能。 关键词:出租车计费器;VHDL;Quartus Ⅱ;设计 Design of Taximeter Based on VHDL Abstract With the development of peoples living standard, taxi has become an important transportation in recent years. And taxi meter is an important instrument in taxi, it is the fair trade standards in both passengers and drivers. In the situation that the demand of taxi is increasing continuously and the requirements of taxi meter systems stability and flexibility are increase, the taxi meter which have a good performance is very necessary for drivers and passengers. This paper designs a taxi meter based on VHDL, the taxi meter system is divided into two modules: charge control and display control. The charge control module is the core to realize the function of the system, according to the input signal, display the mileage, charge and waiting time by BCD code. The display module will be scan and display decoding alternately. Firstly, using VHDL language compile the various parts in Quartus Ⅱ software, and draw the top diagram. Then the simulation test. Finally, the success of simulation program will be downloaded into the EDA experiment box. The validation result comply with the design requirements, there are many functions, as record the time, mileage and charge. Key woords: taximeter; VHDL; Quartus Ⅱ; design 目 录 中文摘要 Ⅰ 英文摘要 Ⅱ 1 引言 1 1.1 设计背景 1 1.2 VHDL简介 1 1.3 QuartusⅡ简介 3 2 出租车计费器的设计 3 2.1 系统设计要求 3 2.2 设计思路 4 2.3 出租车计费器系统工作流程图 4 3 出租车计费器的实现 5 3.1 出租车计费器的顶层原理图 5 3.2 系统各功能模块的实现 5 3.2.1 模块taxi:charge_control 6 3.2.2 模块display:display_control 6 4 出租车计费器系统仿真及分析 7 4.1 顶层实体的仿真波形 7 4.2 计费模块的仿真波形 8 4.3 显示模块的仿真波形

文档评论(0)

118books + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档