电气技术实践可编程逻辑器件FPGA应用开发实验报告.docx

电气技术实践可编程逻辑器件FPGA应用开发实验报告.docx

  1. 1、本文档共24页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多

电气技术实践

可编程逻辑器件FPGA应用开发

实验报告

姓名

班级

学号

2016年12月

电气技术实践报告

PAGEi

目录

TOC\o1-3\h\z\u一、实验目的 1

二、实验要求 1

三、实验内容 1

四、实验代码及实验结果 1

1、4位二进制加法计数器 1

2、半加器 3

3、RS触发器 3

4、数码管十六进制计数器 5

5、跑马灯 7

6、键盘电路 9

7、LED点阵显示 12

8、多人抢答器 18

五、实验感想 18

电气技术实践FPGA报告

PAGE22

一、实验目的

1、熟悉使用可编程逻辑器件(Altera公司FPGACyclone系列EP1C6Q)。

2、熟悉使用硬件描述语言VHDL。

3、掌握FPGA集成环境(Altera公司FPGAQuartusII9.0)开发流程。

4、熟悉使用核心目标系统板与接口电路等工作原理及其功能模块绑定信息。

5、熟悉并掌握下载线方式和下载文件的选择。

二、实验要求

1、学习并掌握文本、图形等输入和时序、功能仿真方法。

2、学习并熟悉门电路、组合电路、时序电路等单一模块功能。

3、学习并设计各种不同状态机逻辑功能。

4、学习并设计由单一模块→较多功能模块集成→系统集成方法。

5、学习并选择多种模式显示(发光二极管显示、米字型数码管显示、七段数码管→动态扫描或静态扫描显示、LED点阵显示各种字符和图形或静止或移动等方式、LCD液晶显示各种字符和图形或静止或移动等方式)。

6、根据自已的兴趣和愿望,可从以下给定的实验目录中选取或自已设定功能题目。

7、实验数目没有要求,关键是看质量,是否是自已编写、调试、实现。

三、实验内容

1、按指导书集成开发环境章节操作实现文本编程实例1和图形编程实例2全过程。

2、任选门电路、组合电路、时序电路实验各完成一个其逻辑功能,其实现方案自已规定。在进行FPGA目标器件输入和输出引脚绑定时,输入引脚绑定高/低电平、单脉冲、各种分频连续脉冲等多种信号,输出引脚可绑定发光二极管、七段数码管、LED点阵等显示模式。

3、在完成1位十进制计数器的基础上,可增加完成2或3等多位十进制计数器逻辑功能并用多位七段数码管来显示。

4、用LED点阵显示任意字符、图形等信息。

四、实验代码及实验结果

1、4位二进制加法计数器

(1)实验代码

libraryieee;

useieee.std_logic_1164.all;

useieee.std_logic_unsigned.all;

entityerjinzhiis

port(clk,

rst:instd_logic;

q:outstd_logic_vector(3downto0));

endentityerjinzhi;

architecturebhvoferjinzhiis

signalq1:std_logic_vector(3downto0);

begin

process(rst,clk)

begin

if(rst=0)then

q1=0000;

elsif(clkeventandclk=1)then

q1=q1+1;

endif;

endprocess;

q=q1;

endarchitecturebhv;

(2)管脚分配

(3)实验操作

①输入信号clk时钟→把FPGA_EA2_P6(Pin_P20)用导线与(FRQ_Q211Hz)连接、rst清零→N18(SW-1)、输出信号q3→U12(LED1)、q2→V12(LED2);q1→V15(LED3);q0→W13(LED4)。

②把输入信号rst设为“1”、clk时钟(FRQ_Q211Hz)用导线与(FRQ_Q211Hz)连接。

(4)实验现象

输出结果信息为第一、二、三、四个发光二极管按照0000→0001→……→1111循环显示,符合实验要求。(该实验板上低电平为“1”)

2、半加器

(1)实验原理图

(2)管脚分配

(3)实验操作

逻辑分析:输入信号a、b;输出信号分别为sum(和)、carry(进位)。

逻辑方程:sum=a⊕b;carry=a*b。

输入信号a→N18(SW-1)、b→M20(SW-2)、输出信号sum(和)→U12(LED1)、carry(进位)→V12(LED2)

(4)实验现象

ⅰ输入信号a、b都为“0”,输出结果信息为两个发光二极管均为“灭”,说明和和进位都为

您可能关注的文档

文档评论(0)

134****1250 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档