[单片机原理及应用技术(第3版)课件]第5章80C51的中断系统及定时计数器.ppt

[单片机原理及应用技术(第3版)课件]第5章80C51的中断系统及定时计数器.ppt

  1. 1、本文档共42页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
[单片机原理及应用技术(第3版)课件]第5章80C51的中断系统及定时计数器.ppt

第5章 80C51的中断系统及定时/计数器 5.1 80C51单片机的中断系统 中断的概念 中断源 触发方式设置及中断标志 SCON的中断标志 中断允许控制 中断优先级控制 中断优先级遵循的原则 5.3 80C51单片机的定时/计数器 5.3.5 定时/计数器应用举例 初始化程序应完成: 对TMOD赋值,以确定T0和T1的工作方式; 求初值,并写入TH0、TL0或TH1、TL1; 中断方式时,要对IE赋值,开放中断; 使TR0或TR1置位,启动定时/计数器工作。 计数应用 【例5-5】有一包装流水线,产品每计数24瓶时发出一个包装控制信号。试编写程序完成这一计数任务。用T0完成计数,用P1.0发出控制信号。 确定方式字: T0在计数的方式2时: M1M0=10,GATE=0 ,C/T=1 方式控制字为06H 求计数初值X: N=24 X=256-24=232=E8H 应将E8H送入TH0和TL0中 ORG 0000H LJMP MAIN ORG 000BH LJMP DVT0 ORG 0100H MAIN:MOV TMOD,#06H ;置T0计数方式2 MOV TH0,#0E8H;装入计数初值 MOV TL0,#0E8H SETB ET0 ;T0开中断 SETB EA ;CPU开中断 SETB TR0 ;启动T0 SJMP $ ;等待中断 DVT0:SETB P1.0 NOP NOP CLR P1.0 RETI END 主程序 中断服务程序 定时应用 定时时间较小时(小于65ms)。晶振为12 MHz时,Tcy为1μS。可直接采用方式1完成定时任务。 【例5-6】利用定时/计数器T0的方式1,产生10ms的定时,并使P1.0引脚上输出周期为20ms的方波,采用中断方式,设系统的晶振频率为12 MHz。 确定方式字: T0在定时的方式1时: M1M0=01,GATE=0 ,C/T=0 方式控制字为01H 求计数初值X: Tcy为1μS N=10ms/1μS=10 000 X=65536-10000=D8F0H 应将D8送TH0, F0H送TL0 ORG 0000H LJMP MAIN ORG 000BH LJMP DVT0 ORG 0100H MAIN:MOV TMOD,#01H ;置T0方式1 MOV TH0,#0D8H;装入计数初值 MOV TL0,#0F0H SETB ET0 ;T0开中断 SETB EA ;CPU开中断 SETB TR0 ;启动T0 SJMP $ ;等待中断 DVT0:CPL P1.0 MOV TH0,#0D8H MOV TL0,#0F0H RETI END 主程序 中断服务程序 采用软件查询方式完成的源程序如下: ORG 0000H LJMP MAIN ;跳转到主程序 ORG 0100H ;主程序 MAIN:MOV TMOD,#01H ;置T0工作于方式1 LOOP:MOV TH0,#0D8H ;装入计数初值 MOV TL0,#0F0H SETB TR0 ;启动定时器T0 JNB TF0,$ ;TF0=0,查询等待 CLR TF0 ;清TF0 CPL P1.0 ;P1.0取反输出 SJMP LOOP END 定时时间较大时(大于65ms)。实现方法:一是采用1个定时器定时一定的间隔(如20ms),然后用软件进行计数;二是采用2个定时器级联,其中一个定时器用来产生周期信号(如20ms为周期),然后将该信号送入另一个计数器的外部脉冲输入端进行脉冲计数。 【例5-7】编写程序,实现用定时/计数器T0定时,使P

文档评论(0)

jz6501 + 关注
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档