VHDL语言与EDA课程设计-出租车计费器.doc

  1. 1、本文档共21页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
课程设计报告 课程名称:VHDL语言与EDA课程设计 设计题目: 出租车计费器 系 别: 通信与控制工程系 专 业: 电子信息工程 班 级: 学生姓名: 学 号: 起止日期: 指导教师: 教研室主任: 指导教师评语: 指导教师签名: 年 月 日 成绩评定 项 目 权重 成绩 1、设计过程中出勤、学习态度等方面 0.2 2、课程设计质量与答辩 0.5 3、设计报告书写及图纸规范程度 0.3 总 成 绩 教研室审核意见: 教研室主任签字: 年 月 日 教学系审核意见: 主任签字: 年 月 日 摘 要 本文介绍了一种采用单片芯片进行出租车计费器的设计方法,主要阐述如何使用新兴的EDA器件取代传统的电子设计方法,利用的可编程性,简洁而又多变的设计方法,缩短了研发周期,同时使出租车计费器体积更小功能更强大。本设计实现了出租车计费器所需的一些基本功能,同时考虑到出租车行业的一些特殊性,更注重了把一些新的思路加入到设计中主要包括采用了芯片,使用VHDL语言进行编程,使其具有了更强的移植性,更加利于产品升级 目 录 设计要求 1 1、方案论证与对比 1 1.1、方案一 1 1.2、方案二 2 1.3、方案对比与选择 3 2、主要模块设计 3 2.1、速度模块 3 2.2、计程模块 3 2.3、计时模块 4 2.4、计费模块 4 2.5、整体框图 5 3、仿真结果 5 3.1、速度模块仿真 5 3.2计程模块仿真 6 3.3计时模块仿真 6 3.4 计费模块仿真 7 3.5、整体仿真 7 4、 整体电路图 8 5、引脚锁定及测试 8 6、致谢 9 7、详细仪器清单 10 参考文献 11 附录 12 出租车计费器 设计要求 计费标准为武汉起步3元,车行3公里后为1.4元/公里,当计费达到20元后,每公里加收50%的车费,车停止每3分钟增加0.7元。车费显示出来,有一位小数。 1、方案论证与对比 1.1、方案一 如图1所示,方案一包含运算控制模块、分频器、显示模块这三大部分。 图1 方案一系统框图 运算控制器模块将其他两个进行连接,是本次设计的核心。它包含计程器、计时器、计价器,同时还有读取外部的拨码开关控制信号,提供当前显示信号与显示位选信号,从而周期性交替显示计价/计时信息、等时信息。 计程器、计时器、计价器根据位选信号,输出相应数据的当前选中数字,由顶层模块根据显示数据类型变换信号进行选择,传输给显示模块。 实验箱的时钟信号为20MHz方波,由分频器分为10Hz的计时信号、10Hz的计程信号、500Hz的数码管扫描信号、0.2Hz的显示数据类型变换。 图2 方案二系统结构图 系统接收到reset信号后,总费用变为3元,同时其他计数器、寄存器等全部清零。 系统接收到start信号后,首先把部分寄存器赋值,总费用不变,单价price寄存器通过对总费用的判断后赋为3元。其他寄存器和计数器等继续保持为0。 速度模块:通过对速度信号sp的判断,决定变量kinside的值。Kinside即是行进100m所需要的时钟周期数,然后每行进100m,则产生一个脉冲clkout。 计程模块:由于一个clkout信号代表行进100m,故通过对clkout计数,可以获得共行进的距离kmcount。 计时模块:在汽车启动后,当遇到顾客等人或红灯时,出租车采用计时收费的方式。通过对速度信号sp的判断决定是否开始记录时间。当sp=0时,开始记录时间。当时间达到足够长时产生timecount脉冲,并重新计时。一个timecount脉冲相当于等待的时间达到了时间计费的长度。这里选择系统时钟频率为500Hz,180s即计数值为9000。 计费模块由两个进程组成。其中,一个进程根据条件对e

文档评论(0)

文档分享 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档