基于FPGA的CMOS彩色图像变换IP设计【毕业论文+文献综述+开题报告】.doc

基于FPGA的CMOS彩色图像变换IP设计【毕业论文+文献综述+开题报告】.doc

  1. 1、本文档共44页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
( 2011  届) 毕业设计 题 目:基于FPGA的CMOS彩色图像变换IP设计 姓  名:              专  业:   电子信息工程 班  级:     基于FPGA的CMOS彩色图像变换IP设计 摘 要 本课题设计基于FPGA的CMOS彩色图像变化IP,利用FPGA处理数据量大、处理速度快,结合CMOS图像传感器MT9M001和BayerCFA格式图像的特点,设计一种基于FPGA的图像数据转化处理IP,实现Bayer格式到RGB格式的转化的设计,研究CFA图像插值算法,实现基于FPGA的实时线性插值算法,对Bayer图像格式进行插值恢复全彩色图像,实现从黑白图像还原高清彩色图像。 CMOS图像传感器MT9M001采集到的图像数据,作为CFA插值图像算法输入源,通过FPGA芯片,设计缓存控制模块,缓存模块,插值模块,利用VHDL语言实现实时双线性插值算法。本课题选用的FPGA开发环境是ISE (Integrated Software Enviroment) 6.1d,通过Modeltech_6.1d仿真工具进行仿真。 关键词:CMOS图像传感器,CFA插值,RGB格式,FPGA IP design of CMOS color image change on FPGA Abstract The project design is based on FPGA for CMOS color image change IP, using FPGA data processing capacity, processing speed, combined with CMOS image sensor MT9M001 and BayerCFA format image features, design of a FPGA-based processing of image data into IP, Bayer format to achieve RGB format conversion to the design, research CFA image interpolation algorithm, real-time FPGA-based linear interpolation algorithm, to interpolate Bayer image format full-color image restoration, restored high-definition black and white images from a color image. MT9M001 CMOS image sensor image data collected as a CFA image interpolation algorithm input source, through the chip, FPGA, design of the cache control module, cache module, interpolation module, the use of VHDL language for real-time bilinear interpolation algorithm. The topic chosen FPGA development environment is ISE (Integrated Software Enviroment) 6.1d, through Modeltech_6.1d simulation tool for simulation. Keywords: CMOS image senso, CFA Interpolation, RGB format, FPGA 目录 摘 要 III Abstract IV 1 绪论 1 1.1 CMOS图像传感器及其发展 1 1.2CFA插值算法 1 1.2.1双线性插值算法含义 2 1.3课题研究的主要内容 2 1.4 FPGA设计流程与开发环境及简介 3 1.4.1 FPGA简介 3 1.4.2 FPGA设计流程 3 1.4.3 开发环境与仿真工具 4 1.5 VHDL硬件描述语言 6 1.6 论文完成工作 6 2方案设计与总体设计 7 2.1 插值算法方案设计 7 2.2方案评价 7 2.3总体设计 8 2.3.1 CMOS图像传感器 8 2.3.2 FPGA芯片 8 3实时双线性插值算法的实现(VHDL语言) 9 3.1.CFA插值模块方案设计 9 3.2各个模块 10 3.2.1缓存控制模块 10 3.2.2缓存模块 11 3.2.3 插值

您可能关注的文档

文档评论(0)

chengzhi5201 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档