基于FPGA的NCO设计与仿真.docVIP

  1. 1、本文档共18页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
本科学生毕业论文 论文题目: 基于FPGA的NCO设计与仿真 学 院: 电子工程学院 年 级: 专 业: 电子信息工程 姓 名: 学 号: 指导教师: 摘 要 数控振荡器是数字通讯中调制解调单元必不可少的部分,同时也是各种数字频率合成器和数字信号发生器的核心。随着数字通信技术的发展,对传送数据的精度和速率要求越来越高。如何得到可数控的高精度的高频载波信号是实现高速数字通信系统必须解决的问题,可编程逻辑器件和大容量存储器的发展为这一问题的解决带来了曙光。本文从NCO概念入手,简要介绍NCO的概念与应用,进而介绍NCO系统结构及工作原理、NCO各组成部分设计、系统仿真等几个方面,将基于FPGA的NCO设计与仿真过程展现在读者面前,简洁明了,并且在研究的过程中取得了一定的成果。 关键词 NCO;数控振荡器;FPGA; Abstract NCO is a crucial part of the modem as a unit of digital communications, and various digital frequency synthesizer and the core of digital signal generator. With the development of digital communication technology, the transmitting data accuracy and rate of demand is higher and higher. How to get to the high frequency carrier signal is of high accuracy of the numerical control implementation must solve the problem of high speed digital communication system, programmable logic devices and the development of large capacity storage brought the dawn for the solution of the problem. This article obtains from the concept of NCO, briefly introduces the concept and application of NCO, then introduce the NCO system structure and working principle, NCO each component design, system simulation and so on several aspects, the NCO based on FPGA design and simulation process show in front of the reader, simple, and has obtained certain achievements in the process of research. Key words NCO; Numerical Controlled Oscillator; FPGA 目录 摘要 I Abstract II 第一章 绪论 1 第二章 NCO概述 2 2.1 NCO概念 2 2.2 基于NCO的应用 2 2.2.1 DDS的实现 2 2.2.2 FSK的实现 3 2.2.3 扫频电路的实现 3 第三章 NCO实现原理及设计方法 4 3.1 NCO实现原理 4 3.2 NCO几种常见设计方法 5 第四章 NCO的结构及仿真 5 4.1 NCO的结构 5 4.1.1 NCO的顶层电路结构 5 4.1.2结构设计 6 4.2 NCO的及仿真 10 结论 12 参考文献 13 致谢 14 第一章 绪论 数控振荡器是数字通讯中调制解调单元必不可少的部分,同时也是各种数字频率合成器和数字信号发生器的核心。随着数字通信技术的发展,对传送数据的精度和速率要求越来越高。如何得到可数控的高精度的高频载波信号是实现高速数字通信系统必须解决的问题,可编程逻辑器件和大容量存储器的发展为这一问题的解决带来了曙光。NCO(Numerical Controlled Oscillator)即数控振荡器用于产生可控的正弦波或余弦波,其实现的方法目前主要有计算法和查表法等。计算法以软件编程的方式通过实时计算产生正弦波样本,该方法耗时多且只能产生频率相对较低的正弦波,而需要产生高速的正交信号时,用此方法无法实现。因此

文档评论(0)

企管文库 + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档