nc_mod_vcs.docVIP

  1. 1、本文档共8页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
nc_mod_vcs

Ncverilog 使用 在NC自带的帮助Cadence NC-Verilog Simulator Help中都可以找到。 * A4 [( d! g# u# Z( {0 D x; |7 {+ r! Z6 R3 g7 z% | 以下整理自网络,有点乱 :( + U??H0 D w$ H) s; o ! N3 D6 P??u9 e1 u3 ^9 T; S/ Q5 e9 R??|3 }2 R5 W0 a6 A Y ncverilog是shell版的,nclaunch是以图形界面为基础的,二者调用相同内核;7 `5 R m??o5 A7 s3 z1 u( j2 O: ???q2 R ncverilog的执行有三步模式和单步模式,在nclaunch中对应multiple step和single step ; L! _1 V% l h# g/ j, ?+ s8 Ancverilog的三步模式为:ncvlog(编译) ncelab(建立snapshot文件) ncsim(对snapshot文件进行仿真) 6 A M??r; E) a0 d基于shell的ncverilog操作(尤其是单步模式)更适合于大批量操作 2 X9 _7 `2 r a1 oncverilog的波形查看配套软件是simvision,其中包含原理图、波形、信号流等查看方式- W4 \6 F; V+ X+ y S , R: s ] n/ J( E; j4 S三命令模式: 8 @ t |# P5 R4 e$ Q3 E! }% b K??ncvlog -f run.f z5 e1 ^??n: h/ |??ncelab tb -access wrc# w+ c- \3 u; e. c \ ??ncsim tb -gui 5 x8 t( I5 B8 K# A+ e E1 f??第一个命令中,run.f是整个的RTL代码的列表,值得注意的是,我们需要把tb文件放在首位,这样可以避免出现提示timescale的错误0 } t( O3 M7 S1 z @ ??注意:ncvlog执行以后将产生一个名为INCA_libs的目录和一个名为worklib的目录 1 m [8 s??K6 C7 g+ `3 D M* k??第二个命令中,access选项是确定读取文件的权限。其中的tb是你的tb文件内的模块名字。 . K1 s1 g5 m0 K ^2 ] x) G??注意:ncelab要选择tb文件的module,会在snapshot文件夹下生成snapshot的module文件 ( d4 p! W7 W! W4 _- w% W K??第三个命令中,gui选项是加上图形界面6 I, s6 x4 U2 V+ [! G6 ] ??在这种模式下仿真,是用“ - ”的。而下边要说的ncverilog是采用“ + ”的 1 h+ ?4 _( x4 b* r??三命令模式下GUI界面较好用,其对应的命令会在console window中显示 1 B??u7 W% N) B??注意:选择snapshot文件夹下生成的module文件进行仿真8 g# Q7 J; v5 y: [ 单命令模式: 7 S) T2 R+ J# V??v??ncverilog +access+wrc rtl +gui ! R+ S- A% |! X3 ^8 H??在这里,各参数与三命令模式相同。注意“ + ”) j4 R0 s??f6 O; }. i z2 h- ?. T) c ??通常都使用单命令模式来跑仿真,但要配置好一些文件 5 D, A8 F4 k; u% `4 f3 B单命令模式下文件的配置: D) }9 l+ ]# X! {# f??目录下有源文件、测试台文件、file、run四个文件4 I. x3 `- O6 B+ D) ^8 |: U( I ??在linux下执行source run后再执行simvision来查看+ A6 r, U. z1 k8 y ? ?? ?run文件内容: ncverilog +access+rw -f file; t/ `/ H `8 l4 ~1 r??}4 Y ? ?? ?file文件内容: cnt_tb.v(注意把tb文件放在前)2 c8 b3 f0 T2 W5 w6 v2 [. X k ? ?? ?? ?? ?? ?? ???cnt.v9 P6 ]( I( i0 T! @+ y! @! B [ ? ?? ?tb文件中应该包含:1 h6 l8 q( f1 c??K: T) A ? ?? ?? ?? ?? ???initial D ?( o7 w# `- b4 } ? ?? ?? ?? ?? ?? ? begin0 o! U4 t4 T6 C {) d# j7 T, b ? ?? ?? ??

文档评论(0)

zhiminwei + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档