可编程逻辑电路技术课程设计指导书-扩展实验.doc

可编程逻辑电路技术课程设计指导书-扩展实验.doc

  1. 1、本文档共53页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
可编程逻辑电路技术 课程设计指导书 张智海 付丽 编 重庆大学光电工程学院 2013年12月 目 录 演示实验一 Hello-Led流水灯实验? 3 实验二 16*16点阵设计 4 附录1 SOPC Builder和Nios-II IDE使用步骤 6 演示实验一 Hello-Led流水灯实验?熟悉SOPC Builder和Nios-II IDE的使用方法和步骤了解Nios-II软核的配置方法,初步掌握对IO口的操作。 FPGA主控制板模块实验原理实验内容 QuartusII9.1sp2中的SOPC Builder来创建NIOSII 软核了。 利用Nios II9.1sp2IDE编写程序来实现8个led灯的循环亮灭实验者也可以适当修改程序来实现其他效果。步骤PIN_G1 reset PIN_R1 led[0] PIN_B4 led[1] PIN_B3 led[2] PIN_E5 led[3] PIN_C3 led[4] PIN_B1 led[5] PIN_C1 led[6] PIN_E4 led[7] PIN_G3 实验二 16*16点阵设计一、实验目的 1、学习点阵式LED显示的原理; 2、学习动态扫描显示的原理; 3、学习设计多字符点阵式LED显示控制系统的设计方法。 二、实验原理 点阵是将LED显示二极管按照行列的顺序排列在一起,形成一个显示单元,常用的点阵式LED显示器是采用8*8点阵结构,一个显示单元可以显示一个 ASCII字符,四个点阵式LED可以组成一个16*16点阵结构,可以显示一个16*16点阵的汉字。 为驱动点阵式LED显示屏,通常情况是采用动态扫描方式,将点阵式LED构成的显示屏的同一行的LED短接在一起,控制电路一次输出每一行所对应的LED的亮灭状态,同时对应行输出有效,点亮该行对应的点,这样逐行显示,只要保证显示刷新频率大于50Hz,就可以看到一个稳定的字符、汉字。8X8点阵LED结构如下图所示 图 从图中可以看出,点阵共64个发光二极管组成,且每个发光二极管是放置在行线和列线的交叉点上,当对应的某一行置电平某一列置电平,则相应的二极管就亮四个点阵式点阵LED可以组成一个16*16点阵结构,可以显示一个16*16点阵的汉字 (a) LED点阵系统框图 (b)LED点阵电路图 图1.2 LED点阵原理图 三、实验步骤1、首先利用字模软件提取汉字的字模例如“济南大学”的字模,这里已经提取完毕,就不需要再次提取了。这里汉字的每一行由两个字节构成,例如“济“的第一行,左边字节为即0x00,右边字节为”1000”即0x80,同样第三行为”0011011111111110”即0x37、0xFE,其他同理。 0x00,0x80,0x40,0x44,0x37,0xFE,0x11,0x10,0x80,0xA0,0x60,0x40,0x21,0xB0,0x0E,0x0E, 0x11,0x14,0x21,0x10,0xE1,0x10,0x21,0x10, 0x21,0x10,0x22,0x10,0x20,0x10,0x24,0x10, “ 学 ” 0x22,0x08,0x11,0x08,0x11,0x10,0x00,0x20, 0x7F,0xFE,0x40,0x02,0x80,0x04,0x1F,0xE0, 0x00,0x40,0x01,0x84,0xFF,0xFE,0x01,0x00, 0x01,0x00,0x01,0x00,0x05,0x00,0x02,0x00 2、建立工程文件,并新建字模数据表,将字模逐个写入。 建立工程后,新建new? Memory Files ?memory initialization files ?mif文件 新建MIF数据表 设定数据表大小 本实验以显示“济“字为例,说明点阵显示的方法,所以建立了宽度16位的16个数据表如图,然后将“济”字的字模依次输入并保存命名为data.mif如图所示。 、建立LPM_ROM表 选择Tools?Megawizard Plug-In Manager弹出对话框如图所示。点击next,选择Memery complier?ROM:1-PORT,命名为data_rom.,用于读mif文件接口。 点击Next,写入16位宽度的16个数据,再点击Next进入图所示的界面,选择data.mif文件路径,来初始化ROM文件。 4、新建VHDL文件输入以下代码保存为point_array_16.vhdl。打开point_array_16.vhdl文件,选择File? Cre

文档评论(0)

四娘 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档