课程设计《数字式力抢答器》.docVIP

  1. 1、本文档共15页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
课程设计《数字式力抢答器》

课程设计 《数字式智力抢答器》 系统设计要求: 设计一个智力抢答器,可以判断第一抢答者,并具备计分功能。 抢答器有4路抢答按钮。设置抢答器使能信号,当此信号有效时,若参赛者按下抢答开关,则抢答器能判断出第一抢答者并指示该组抢答成功,其他参赛者的抢答开关不起作用。若提前抢答,则对相应的参赛者发出警报。 系统具有清零功能。当清零信号有效时,抢答器对前一轮抢答的第一抢答者判断结果进行清零,恢复为初始状态。 数字式智力抢答器还具有计分功能。如果抢答成功的参赛者满足得分条件,则增加相应分数,答错不扣分。 一、系统方案设计 根据系统设计要求,系统主要由三个主要的电路模块组成,分别为第一抢答判断电路、计分电路、显示电路。其中第一抢答判断电路主要完成最快抢答者的判断功能;计分电路存储每组竞赛者的分数;显示电路显示抢答器的状态和各组的分数。 因此,数字竞赛抢答器的输入信号包括: 复位信号CLR、抢答器使能信号EN、四组抢答按钮A/B/C/D、加分信号ADD; 输出信号: 四组抢答状态的显示LEDx;对应的得分SCOREx;抢答器抢答成功的组别显示、报警信号FALSE。 二、单元电路设计 1、第一抢答判断电路 第一抢答判断电路模块具有第一抢答信号的鉴别和锁存功能。 (1)当复位CLR信号有效(高电平)时,电路清零。 (2)当使能信号EN为低电平时,如果有人抢答,则提前抢答报警信号FALSE[3..0]的对应位输出高电平,以示警告。 (3)当EN为高电平时,抢答开始。 首先将报警信号FALSE[3..0]清零,然后根据四组竞赛者的抢答情况选择最先抢答的信号,显示抢答状态显示信号LEDA—LEDD。(即LEDA—LEDD对应输出高电平)。抢答成功者的组别编号由信号Q[3..0]输出,并锁存抢答器此时的状态,直到CLR信号有效为止。 (4)在每一轮新的抢答之前,都要使用复位信号CLR,清除上一轮抢答留下的痕迹,使电路恢复初始状态。 第一抢答电路VHDL程序 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity qd is port(clr,en:in std_logic; A,B,C,D:in std_logic; LEDA,LEDB,LEDC,LEDD:out std_logic;--抢答成功指示灯 FALSE:out std_logic_vector(3 downto 0);--提前抢答报警 Q: out std_logic_vector(3 downto 0)); --抢答成功组显示 End qd; Architecture behave of qd is Signal temp: std_logic_vector(3 downto 0); Signal TAG : std_logic; --设置锁存标志位 Begin Temp=ABCD; PROCESS(clr,EN,A,B,C,D) BEGIN IF clr=’1’ then -- 电路清零 Q=”0000”; LEDA=’0’; LEDB=’0’; LEDC=’0’; LEDD=’0’; FALSE=”0000”; TMG=’0’; ELSIF (EN=’0’) THEN -- 提前抢答报警 IF A=’1’ THEN --A提前抢答报警 FALSE(3)=’1’; END IF; IF B=’1’ THEN FALSE(2)=’1’; END IF; IF C=’1’ THEN FALSE(1)=’1’; END IF; IF D=’1’ THEN FALSE(0)=’0’; END IF; ELSE –抢答开始 FALSE=”0000”

文档评论(0)

ptaosqi + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档