通信原理课程设计74480.docVIP

  1. 1、本文档共11页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
通信原理课程设计74480.doc

一、设计题目: 2ASK、2FSK数字调制器 二、设计任务 利用所学过的《低频电子技术》、《数字电子技术》、《高频电子线路》、《通信原理》(或《电子设计自动化》(EDA技术)的基本知识设计一个调幅、调频的2ASK、FSK数字调制器 三、设计要求 设计出一个载波频率为2.2MZ的二进制振幅键控2ASK数字调制器及载波频率f1=2.2MZ,f2=1.1MZ的二进制频率键控2FSK数字调制器 四、二进制振幅键控(2ASK)数字调制器的设计 1、2ASK的基本原理 2ASK是利用代表数字信息“0”或“1”的基带矩形脉冲去控制一个连续的载波,使载波时断时续地输出。有载波输出时发送“1”,无载波输出时发送“0”。 2、2ASK调制器的实现方法 (1)乘法器实现方法 乘法器输入法的输入是随机信息序列,经过基带信号形成器,产生序列波形,乘法器用来进行频谱搬移,相乘后的信号通过带通滤波器除去高频谐波和低频干扰。带通滤波器的输出是振幅键控信号。乘法器采用环形调制器。 (2)键控法 键控法是产生ASK信号的另一种方法。2ASK又称通断控制(OOK)最典型的实现方法是用一个电键控制载波振荡器的输出来获得。图1所示为该方法的原理图。 为适应自动发送高速数据的要求,键控法中的电键可以利用各种形式的受基带信号控制电子开关来实现,代替电键产生ASK信号。图2所示就是数字电路实现键控产生ASK信号的实例。该电路是用基带信号控制与非门的开闭,实现ASK调制,产生ASK信号。 3、2ASK调制电路的VHDL程序 ①采用键控方法实现的ASK调制器方框图3如图所示。由VHDL程序实现的ASK电路符号如图所示。当开始调试信号start为高电平时,分频器对系统时钟信号clk进行分频后得到载波f,基带信号通过与门对载波信号进行调制后输出已调信号。 注意:图3中没有包含模拟电路部分,输出信号为信号。 ②ASK调制电路VHDL程序如下: library ieee; use ieee.std_logic_arith.all; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity chenrong_ASK is port(clk :in std_logic; --系统时钟 start :in std_logic; --开始调制信号 x :in std_logic; --基带信号 y :out std_logic); --调制信号 end chenrong_ASK; architecture behav of chenrong_ASK is signal q:integer range 0 to 1; --载波信号f的分频计数器 signal f:std_logic; --载波信号f begin process(clk) --此进程通过对系统时钟clk的分频,得到载波f begin if clkevent and clk=1 then if start=0 then q=0; elsif q=0 then f=1;q=q+1; elsif q=1 then f=0;q=0; else f=0;q=q+1; end if; end if ; end process; y=x and f; end behav; ③ASK的仿真分析 由VHDL程序实现的ASK调制器电路程序如图4所示,ASK调制电路的仿真波形如图5所示。 如图5仿真图所示,当开始调制信号start为高电平时,分频器对系统时钟clk进行2分频,我们所选的晶振是4.4MHZ,所以f是2.2MHZ的信号,满足题目要求 ,基带信号通过与门对载波进行调制后输出已调信号。注意:输出的调制信号y滞后于输入基带信号x约一个clk时间。, 五、2FSK数字调制器的设计 1、2FSK的基本原理 频移键控(FSK)是利用载波频率变化来传递数字信息。在2FSK中,载波的频率随二进制基带信号在f1和f2两个频率点间变化。频率f1发送“1”,频率为f2时发送“0”或者相反。 2、2FSK设计思路 如图6所示,输入220MHZ的时钟信号,经过2分频和4分频得到110MHZ和55MHZ的载波,二选一数据选择器根据基带信号的变化产生不同频率的载波信号,再通过正弦信号发生器产生采样、量化后的

文档评论(0)

xinshengwencai + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

版权声明书
用户编号:5311233133000002

1亿VIP精品文档

相关文档