16位模型机的设计..docVIP

  1. 1、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。。
  2. 2、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  3. 3、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  4. 4、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  5. 5、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  6. 6、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  7. 7、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
16位模型机的设计.

16位CPU的设计 要求: 此模型机的功能是将存储区的数据块复制到另一个存储区。 汇编代码如下: START: LOADI R1,0010H ;源操作数地址送R1 LOADI R2,0030H ;目的操作数地址送R2 LOADI R6,002FH ;结束地址送R6 NEXT: LOAD R3,[R1] ;取数 STORE [R2],R3 ;存数 BRANCHGTI START ;如果R1R6,则转向START INC R1 ;修改源地址 INC R2 ;修改目的地址 BRANCHI NEXT ;转向NEXT 16位CPU的组成结构 指令系统的设计 指令格式 单字指令格式 双字指令格式 指令操作码 操作码 指令 功能 00001 LOAD 装载数据到寄存器 00010 STORE 将寄存器的数据存入到存储器 00100 LOADI 将立即数装入到寄存器 00101 BRANCHI 无条件转移到由立即数指定的地址 00110 BRANCHGTI 如果源寄存器内容大于目的寄存器的内容,则转移到由立即数指定的地址 00111 INC 寄存器内容加1指令 依据以上设计的指令系统,则完成数据块复制的程序如下: 地址 机器码 指令 功能说明 0000H 0001H 2001H 0010H LOADI R1,0010H 源操作数地址送R1 0002H 0003H 2002H 0030H LOADI R2,0030H 目的操作数地址送R2 0004H 0005H 2006H 002FH LOADI R6,002FH 结束地址送R6 0006H 080BH LOAD R3,[R1] 取数 0007H 101AH STORE [R2],R3 存数 0008H 0009H 300EH 0000H BRANCHGTI 0000 如果R1大于R6,则转向地址0000 000AH 3801H INC R1 修改源地址 000BH 3802H INC R2 修改目的地址 000CH 000DH 2800H 0006H BRANCHI 0006H 转向00006H,实现循环 VHDL设计 程序包:说明运算器的功能、移动寄存器的操作、比较器的比较类型和用于CPU控制的状态类型。 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; package cpu_lib is subtype t_shift is unsigned (3 downto 0); constant shftpass :unsigned(3 downto 0):=0000; constant sftl :unsigned(3 downto 0):=0001; constant sftr:unsigned(3 downto 0):=0010; constant rotl :unsigned(3 downto 0):=0011; constant rotr :unsigned(3 downto 0):=0100; subtype t_alu is unsigned(3 downto 0); constant alupass :unsigned(3 downto 0):=0000; constant andOp :unsigned(3 downto 0):=0001; constant orOp:unsigned(3 downto 0):=0010; constant notOp :unsigned(3 downto 0):=0011; constant xorOp :unsigned(3 downto 0):=0100; constant plus :unsigned(3 downto 0):=0101; constant alusub :unsigned(3 downto 0):=0110; constant inc :unsigned(3 downto 0):=0111; constant dec :unsigned(3 downto 0):=1000; constant zero:unsigned(3 downto 0):=1001; subtype t_comp is unsigned 2 downto 0); constant eq :unsigned(2 downto 0):=000; constant neq :unsigned(2 downto 0):=001; constant gt:unsigned

文档评论(0)

sd7f8dgh + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档