出租车自动计费器31220.docVIP

  1. 1、本文档共15页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
EDA课程设计报告 姓 名: 学 号: 班 级: 指导老师: 年 月 日 摘要 用VHDL进行设计,VHDL语言是一种全方位硬件描述语言,包括系统行为级,寄存器传输级和逻辑门级多个设计层次。应充分利用VHDL“自顶向下”的设计优点以及层次化的设计概念,层次概念对于设计复杂的数字系统是非常有用的,它使得我们可以从简单的单元入手,逐渐构成庞大而复杂的系统。我们这次就是通过VHDL设计完成了出租车计费器的基本功能。 目 录 一 、 摘要 1 二 、 系统设计要求 3 三 、 设计方案 3 四 、 模块设计 4 (1) 档位选择程序 (2) 速度模块程序 (3) 计程模块程序 (4) 计费模块程序 (5) 出租车计费器 (6) 计费器控制仿真及分析 五 、总结 14 六 、参考书籍 14 系统的设计要求 、能实现计费功能,起步费为3.00元,并在车行1km后按1.8/km增加,当计费器达到或超过一定收费(如20元)时,每千米加收50%的车费,车停止计费结束. 、能实现预置起步费、每千米收费、车行程加费里. 、能实现模拟汽车起动、停止、暂停、车速等状态. 、能动态扫描电路,将车费和路程显示出来,并有两位小数. 、扩充其它功能. 、按要求写好设计报告(设计报告内容包括:引言,方案设计与论证 总体设计,各模块设计,调试与数据分析,总结)。 设计方案 根据出租车计费系统的要求可知,整个出租车计费系统可由速度模块、计程模块、计费模块和显示模块等部分电路构成。 在FPGA/CPLD中可设计这些基本模块的电路功能,然后将这些模块进行有机组合,即可形成出租车计费系统。显示模块可采用共阴极七段LED数码管实现,出租车内部电路如图: 其输出接LED显示即可显示路程和费用。 模块设计 档位选择程序 通过三个按键输入,即a,b,c,由此决定出租车的档位SP。 library ieee; use ieee.std_logic_1164.all; entity dwxz is port (a,b,c:in std_logic; reset:in std_logic; sp:out std_logic_vector (2 downto 0) ); end dwxz; architecture three of dwxz is begin process(reset,a,b,c) begin if reset=1 then sp=000; elsif a=0and b=0 and c=1 then sp=001; elsif a=0and b=1 and c=0 then sp=010; elsif a=0and b=1 and c=1 then sp=011; elsif a=1and b=0 and c=0 then sp=100; elsif a=1and b=0 and c=1 then sp=101; elsif a=1and b=1 and c=0 then sp=110; elsif a=0and b=0 and c=0 then sp=000; else sp=000; end if; end process; end three; 其原理图模式为: 速度模块程序 速度模块是通过对速度信号SP的判断以决定出租车每行驶100M需要的时间值,然后判断车行驶的时间是否等于所设定的时间值,若二者相等,则产生一个100M的CLKOUT信号。 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned

文档评论(0)

ipad0b + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档