FPGA_CPLD组合逻辑电路设计.ppt

  1. 1、本文档共85页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
FPGA_CPLD组合逻辑电路设计

设计模块1:分频电路 代码:略… 1.产生一个1分钟的时钟:clk_1m 2.产生一个0.01秒的时钟(为了动态显示使用):clk_div 设计部件2:计时器 reg[5:0] minute//分钟 always@(posedge clk_1m) if(reset==1’b1) minute =6’d34;//显示34分 else if(minute ==6’d59) minute =6’b0; else minute = minute +1’b1; reg[4:0] hour//小时 always@(posedge clk_1m) if(reset==1’b1) hour =5’d15;//初始化为15点 else if(minute ==6’d59)//满足1小时 begin if(hour ==5’d23);//满23小时 hour=5’b0; else hour = hour +1’b1; end 复习:BCD码转换电路 Binary-Coded Decimal?,简称BCD,称BCD码或二-十进制代码,亦称二进码十进数。是一种二进制的数字编码形式,用二进制编码的十进制代码。 BCD-8421举例子: 二进制 十进制 BCD 0 0 0 110 6 110 1001 9 1001 1101 13 0001 0011 1011111 95 1001 0101 小练习BCD码转换 1.110011 2.100100 3.1010101 4.101100 5.101000001010 任务:自己写一个0到99(1100011)的二进制到BCD转化电路 提示: 需要应用“比较器来”确定十位上数字是多少? 确定了十位数后,用减掉一个这个十位数,得到个位数,再做各位数的BCD码转换 设计部件2:8位二进制到BCD码译码器电路 hour与minute都是小于99的binary 数,所以分别可以转化为8位BCD数。 设定BCD数结果分别为hour_bcd , minute_bcd 设计部件3:动态数码显示输出 现在,我们拥有2个8位的BCD码数据,hour_bcd , minute_bcd。 把它们拆分成为4个4进制的BCD码数据: hour_bcd [7:4], hour_bcd [3:0], minute_bcd [7:4], minute_bcd [3:0]。 设计SCAN信号 首先要降低扫描速度,生成一个大约为0.01s-0.1s的时钟 利用这个时钟信号产生一个scan信号如下: reg[1:0] state; reg[3:0] scan;//注意要用always就必须是reg always@(posedge clk_div) state=stats+1’b1; always @ (counter) case (counter) 2’b00 : scan=4’b0001; 2’b01 : scan =4’b0010; 2’b10 : scan =4’b0100; 2’b11 : scan =4’b1000; default: scan =4’b0000; endcase 分不同的时间把9、5、2、7放到bin上面去 reg[3:0] bin;//不在always里面赋值就用wire,否则用reg always@(counter) case (counter) 2’b00 : bin=4’d9; 2’b01 : bin=4’d5; 2’b10 : bin=4’d2; 2’b11 : bin=4’d7; default: bin=4’d0; endcase 再对9527从二进制到abdcdefg译码 reg[6:0] abcdefg; always@(bin) begin case(bin) 4‘b0000: abcdefg= 7b0111111; …. …. default: abcdefg= 7b0000000; endcase 原来:在counter分别为00、01、10、11的时候在abcdefg上放置9、5、2、7 现在:在counter分别为00、01、10、11的时候在abcdefg上放置hour_bcd [7:

文档评论(0)

yan698698 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档