基于EDA技术的信号发生器设计分析电力系统及其自动化毕业设计.docx

基于EDA技术的信号发生器设计分析电力系统及其自动化毕业设计.docx

  1. 1、本文档共27页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
基于EDA技术的信号发生器设计分析申请人:学科(专业):电力系统及其自动化指导教师:2017年1月26日网络教育学院毕业设计 (论文) 任务书一、毕业设计(论文)题目基于EDA技术的信号发生器设计二、毕业设计(论文)工作自 2017年1月30日日起至年月日止三、毕业设计(论文)基本要求:毕业论文是对三年来学业的综合考核,是培养学生综合运使用所学知识,在实践过程中发现问题,分析和解决问题,提高学生综合素质的一个重要环节,也是对学生实际工作能力的系统训练和考察过程。1.时间安排按照进程要求,抓紧时间,遇到问题主动和老师联系。1)根据本人兴趣和研究方向选择论文题目,提出选题的初步设想阅读相关书籍、文章,做读书笔记,搜集、整理与论文有关的资料。与指导教师联系,在指导教师指导帮助下确定论文题目。2)构思论文框架,编写论文提纲。扩充有关的信息资料,调查研究,撰写论文初稿。论文初稿完成后,将电子版文初稿提交给指导教师审阅。3)指导教师对论文初稿提出具体的修改意见,学生根据导师指导意见修改初稿。交来二稿,交指导老师审阅。4)根据指导老师意见修改二稿,其间若有问题可进行三稿甚至四稿的修改。2.在撰写毕业论文过程中要求态度端正,认真对待。1)论文写作可以在参考、借鉴若干篇文献资料的基础上进行,但不允许抄袭他人成果。2)?坚持理论联系实际的原则。论文选题要切合实际,充分发挥自身优势,应根据自己平时学习、工作较感兴趣的问题来选择题目。3)??论文结构设计合理,论述清楚,尽可能应有一定的个人独立见解。4)写作要求符合规范,保证质量,按时完成毕业论文。摘 要现代EDA技术是当今电子设计技术的最新发展方向,具有极大的灵活性与通用性、测试硬件方便快捷、系统开发快速、降低产品成本、技术维护简单、工作稳定性好等特点。本文着重介绍了基于EDA技术的正弦信号发生器电路的设计方案、程序设计输入、编译和仿真等操作,比较完整的说明了正弦信号发生器的设计过程、功能和正弦信号发生器电路的设计过程。设计在QuartusⅡ环境下,设计的一种调频信号发生器。EDA?技术是以大规模可编程逻辑器件为设计载体,以硬件描述语言为系统逻辑描述的主要表达方式,以计算机、大规模可编程逻辑器件的开发软件及实验开发系统为设计工具,通过有关开发软件,自动完成用软件的方式设计的电子系统到硬件系统实现,最终形成集成电子系统或专用集成芯片的一门新技术。介绍一种基于DDS?原理,并采用FPGA?芯片和VHDL?开发语言设计的任意函数调频的任意波形信号发生器,给出了设计方案。关键词:EDA技术;正弦信号发生器;FPGA;VHDL;quartusⅡ论文类型:C目录1 绪论2 EDA技术介绍2.1 EDA介绍2.2 VHDL基本介绍2.3 设计工具简介3 设计流程3.1 设计思想及原理图3.2 正弦波、三角波、方波和锯齿波的实现3.2.1 正弦波设计3.2.2 正弦波的仿真3.2.3 三角波设计3.2.4 三角波的仿真3.2.5 方波设计3.2.6 方波的仿真3.2.7 锯齿波设计3.2.8 锯齿波的仿真3.3 各个控制单元的实现3.3.1 频率控制单元3.3.2 波形输出控制单元3.4 硬件测试4 结论与展望致谢参考文献毕业论文知识产权权属声明绪论简易多功能信号发生器是信号发生器的一种,在生产实践和科研领域中有着广泛的应使用。在研制、生产、测试和维修各种电子元件、部件以及整机设备时,都需要有信号源,由发生器产生不同频率不同波形的电压、电流信号并加到被测器件或设备上,使用其他仪器观察、测量被测仪器的输出响应,以分析确定发生器们的性能参数。信号发生器是电子测量领域中最基本、应使用最广泛的一类电子仪器。发生器可以产生多种波形信号,如正弦波,三角波,方波和锯齿波等,因而广泛使用于通信、雷达、导航不同领域。在本设计中发生器能够产生多种波形,如正弦波,三角波,方波和锯齿波等,并能实现对各种波频率和幅度的改变。正因为其在生活中应使用的重要性,人们发生器做了大量的研究,总结出了许多实现方式。可以基于FPGA 、VHDL、单片机、DOS技能、数字电路等多种方法实现。本设计是采使用VHDL来实现的简易多功能信号发生器。发生器能产生正弦波,三角波,方波和锯齿波。且对各种波形的要求如下:(1)根据按键选择不同的波形(实现正弦波,三角波,方波和锯齿波);(2)各波形的频率范围为100Hz-20KHz;(3)各波形频率可调(通过按键控制频率的变化,步进值为500Hz);(4)使使用LED数码管实时显示输出信号波形的频率值;(5)使用按键控制实现输出信号的幅度调节(幅度调节为2.5V和6V)。EDA技术介绍EDA介绍EDA是电子设计自动化(Electronic Design AutoMation)缩写。EDA技术是以计算机为工具,根据硬件描述

您可能关注的文档

文档评论(0)

xingyuxiaxiang + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档