基于LPM_ROM四位乘法器.doc

  1. 1、本文档共11页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
西北师范大学知行学院 EDA技术 基于LPM_ROM的四位乘法器 姓名:CMC 班级:08电本 学号: 0 2 课题:基于LPM_ROM的四位乘法器 1、设计要求: 用Quartus II调用LPM_ROM(Library of Parameterized Module:参数可设置模块库)模块,实现一个四位乘法器。设计两个输入信号分别做两个乘数及一个输出信号做积,而实现四位乘法功能。 2、设计目的: (1)理解原理; (2)掌握的使用方法。 (4)掌握时序仿真。 3、设计步骤: (1) (2) (3)对电路进行全速运行编译; (4)进行时序仿真; 友情提示:设计文件下载请按住键盘Ctrl键点击此处(文件大小:691K) 4、设计内容: I.Mif文件:编写mif文件,实现乘数和积的设置; II.电路图:调用LPM_ROM模块,对其进行设置。 新建一个Block Diagram文件,在空白处双击,弹出元件库symbol,输入lpm_rom,调出lpm_rom模块,如下图: 图1(如果图小,无法看清,按ctrl,滚动鼠标滚动轮可放大) 对LPM_ROM模块进行设置,如下图: 图2 图3 图4 图5 添加输入、输出,如下图: 图5 图6 图7 图8 图10 III.时序仿真:建立vwf文件,点击菜单栏的“view”-“Utility Windows”-“Node Finder”-“List”,列出引脚后,用鼠标托到vwf文件中,如下图: 图9 以上为设计文件,即:电路图、mif文件、时序仿真图。 IV.Mif文件: 5、运行结果: 6、注意事项: 如果在电路图设计好后,全速运行,会有错误提示,进行以下设置即可:点击菜单栏中的“view”-“Utility Windows”-“Project Navgator”,左侧出现设置框,删除里面的其他文件,只留下plus.vhd(由于在此设计中将lpm_rom模块名称定义为了plus,所以生成的VHD文件也是plus.vhd,如果你将lpm_rom模块定义为plus4,则此处的文件也为plus4.vhd)和plus4.bdf文件,如下图01,然后再点击“Assignments”-“Setting”,添加这两个文件,如下图02: 图 01 图02 7、设计小结: LPM_ROM模块有着重要的作用,学会调用它非常重要。此次设计使我对LPM_ROM模块有了很深入的了解,对LPM_ROM、mif文件的使用更加熟悉。本次设计过程中,我经过了大量学习和试验,查阅了很多相关书籍,也在网上查找了很多资料,同时也向其他同学们请教,最终完成了此次设计。在此衷心感谢刘老师的悉心教导和同学们的热情帮助。

文档评论(0)

189****6140 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档