Quartus-II使用教程-完整实例2.doc

  1. 1、本文档共14页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
Quartus-II使用教程-完整实例2.doc

PAGE PAGE 14 Quartus Ⅱ入门教程 (一个Verilog程序的编译和功能仿真) Quartus Ⅱ 是Altera公司推出的专业EDA工具,支持原理图输入、硬件描述语言的输入等多种输入方式。硬件描述语言的输入方式是利用类似高级程序的设计方法来设计出数字系统。接下来我们对这种智能的EDA工具进行初步的学习。使大家以后的数字系统设计更加容易上手。 菜单栏快捷工具栏第一步:打开软件 菜单栏 快捷工具栏 任务管理窗口信息栏工作区资源管理窗口 任务管理窗口 信息栏 工作区 资源管理窗口 快捷工具栏:提供设置(setting),编译( HYPERLINK app:ds:compile \t _self compile)等快捷方式,方便用户使用,用户也可以在菜单栏的下拉菜单找到相应的选项。 菜单栏:软件所有功能的控制选项都可以在其下拉菜单中找到。 信息栏:编译或者综合整个过程的详细信息显示窗口,包括编译通过信息和报错信息。 所建工程的保存路径第二步:新建工程(filenew Project Wizard) 所建工程的保存路径 1 工程名称: 顶层模块名(芯片级设计为实体名),要求 顶层模块名(芯片级设计为实体名),要求与工程名称相同 如果有已经存在的文件就在该过程中添加,软件将直接将用户所添加的文件添加到工程中。 工程名称 2添加已有文件(没有已有文件的直接跳过next) 3 选择芯片型号(我们选择cylone II系列下的EP2C70F896C6芯片) (注:如果不下载到开发板上进行测试,这一步可以不用设置) 选择芯片快速搜索所需的芯片所选的芯片的系列型号 选择芯片 快速搜索所需的芯片 所选的芯片的系列型号 4 选择仿真,综合工具(第一次实验全部利用quartus做,三项都选None,然后next) 选择时序分析仪选择第三方仿真工具,如果使用Quartus内部仿真工具则选择 选择时序分析仪 选择第三方仿真工具,如果使用Quartus内部仿真工具则选择none 选择第三方综合工具,如果使用Quartus内部综合工具则选择none 5 工程建立完成(点finish) 工程建立完成,该窗口显示所建立工程所有的芯片,其他第三方EDA 工程建立完成,该窗口显示所建立工程所有的芯片,其他第三方EDA工具选择情况,以及模块名等等信息。 第三步:添加文件(filenew VHDL file),新建完成之后要先保存。 我们选择 我们选择Verilog HDL File设计文件格式既选择Verilog文本输入形式 第四步:编写程序 以实现一个与门和或门为例,Verilog描述源文件如下: module test(a,b,out1,out2); input a,b; output out1,out2; assign out1=ab; assign out2=a | b; endmodule 然后保存源文件; 第五步:检查语法(点击工具栏的这个按钮(start Analysis synthesis)) 语法检查成功,没有error级别以上的错误 语法检查成功,没有error级别以上的错误 该窗口显示了语法检查后的详细信息,包括所使用的io口资源的多少等内容,相应的英文名大家可以自己查阅 点击确定完成语法检查 第六步:(锁定引脚,点击工具栏的(pin planner)) (注:如果不下载到开发板上进行测试,引脚可以不用分配) 顶层某块的输入输出口与物理的芯片端口想对应各个端口的输入输出类型 顶层某块的输入输出口与物理的芯片端口想对应 各个端口的输入输出类型 双击location 为您的输入输出配置引脚。 选择为使用端口选项卡第七步:整体编译(工具栏的按钮(start Complilation)) 选择为使用端口选项卡 该窗口给 该窗口给出综合后代码的资源使用情况既芯片型号等等信息。 第八步:testbench仿真 (1) 仿真环境配置: (2) 点击菜单栏中processing,选择start,选择start testbench template write。此时会自动生成testbench模板到项目文件夹simulation\modelsim里面,后缀为.vt 在quatusii界面打开…simulation\modelsim文件夹下的.vt文件 进行修改编辑,程序如下,修改完毕,保存: (3) 在项目管理窗器件上右击选择settings 打开如下界面: 点击 按照.vt内容(Test bench name 要和)填写上面内容, 选择modelsim文件夹下.vt文件 记得点击Add 记得点击Add 依次点击确认,完成设置 (4) 选择tools/options,在EDA Tool Options下确定ModelSim-A

文档评论(0)

kanghao1 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档