EDA汽车尾灯设计报告.docVIP

  1. 1、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。。
  2. 2、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  3. 3、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  4. 4、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  5. 5、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  6. 6、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  7. 7、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
EDA原理及应用 实验报告 题目:汽车尾灯控制器设计 专业:电子信息工程 班级: 姓名: 学号: 设计题目:汽车尾灯控制器设计 设计目标: 汽车尾灯主要是警示后方行驶的汽车司机注意,为了使尾灯的光信号更加明显,采用亮灭交替的闪烁信号进行提示,闪烁周期为2秒,即亮1秒,灭1秒,汽车尾灯显示方式分为以下四个状态: QA状态:正常或其他行驶状态时,左右尾灯均熄灭不亮。 QB状态:当左转弯时,左尾灯以亮-灭-亮-灭行驶闪烁,右尾灯灭; QC状态:当右转弯时,右尾灯以亮-灭-亮-灭行驶闪烁,左尾灯灭; QD状态:当发生紧急情况时,左右尾灯同时采用亮-灭-亮-灭双闪烁方式; 设计原理:(含系统总的原理图) z为左尾灯控制键;y为右尾灯控制键;j为紧急状态控制键;cp为周期2秒的输入时钟; (2)LD代表左尾灯,LD代表右尾灯,输出方式为高电平点亮,低电平熄灭; 由一个分频器模块,尾灯控制模块,几个独立按键组成 设计内容:(含状态转换图、软件流程图、说明文字等,每单独模块的图标和VHDL程序;最后为总体程序框图) 尾灯控制器 library ieee; use ieee.std_logic_1164.all; entity weideng is port(z,y,j,cp,rst : in std_logic; LD,RD : out std_logic); end; architecture one of weideng is type fsm_st is (QA,QB,QC,QD); signal current_state , next_state : fsm_st; begin process (cp,rst) begin if rst = 0 then current_state = QA; elsif cp = 1 and cpevent then current_state = next_state; end if; end process; process ( current_state,z,y,j) begin case current_state is when QA =LD = 1;RD= 1; if j = 0 then next_state = QD; elsif z = 0 then next_state = QB; elsif y = 0 then next_state = QC; else next_state = QA; end if; when QB = LD = cp; RD = 1; if z = 1 or j = 0 then next_state = QA; else next_state = QB; end if; when QC= LD = 1; RD = cp; if y = 1 or j = 0 then next_state = QA; else next_state = QC; end if; when QD = LD = cp; RD= cp; if j = 1 then next_state = QA; else next_state = QD; end if; end case; end process; end; 说明:z为0时LD左尾灯为高电平;y为0时RD右尾灯为高电平;j为0时LD左尾灯RD右尾灯都为高电平,j为优先级。 分频器 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY DVF IS PORT(CLK:IN STD_LOGIC; --D:IN STD_LOGIC_VECTOR(3 DOWNTO 0); FOUT:OUT STD_LOGIC); END; ARCHITECTURE one OF DVF IS SIGNAL FULL:STD_LOGIC; BEGIN P_REG:PROCESS(CLK) VARIABLE CNT8:INTEGER RANGEDOWNTO 0; BEGIN IF CLKEVENT AND CLK=1 THEN IF CNT8THEN CNT8:=0; FULL=1; ELSE CNT8:=CNT8+1; FULL=0; END IF; END IF; END PROCESS P_REG; P_DIV:PROCESS(FULL) VARIABLE CNT2:STD_LOGIC; BEGIN IF FULLEVENT AN

您可能关注的文档

文档评论(0)

cjp823 + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

版权声明书
用户编号:7060131150000004

1亿VIP精品文档

相关文档