血型合格鉴定电路_图文.doc

  1. 1、本文档共8页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
东北大学秦皇岛分校电子信息系 电子线路课程设计 血型合格鉴定电路 专业名称 班级学号 学生姓名 指导教师 设计时间 通信工程 XXXXXX X X X XXXX XXXX 课程设计任务书 专业:通信工程 学号:409xxxx 学生姓名(签名): 设计题目:血性合格鉴定电路 一、设计实验条件 ×××××实验室 二、设计任务及要求 1. 通过电路设计熟悉仿真软件的使用,并加深对所学只是的印象; 2. 掌握常用芯片的使用方法; 3. 血型合格鉴定电路要符合输血血型匹配原则; 4. 要求血型合格要有信号提示,如LED 灯,喇叭等; 5. 仿真软件结果演示。 三、设计报告的内容 1. 设计题目与设计任务(设计任务书) 2. 前言(绪论)(设计的目的、意义等 3. 设计主体(各部分设计内容、分析、结论等) 4. 结束语(设计的收获、体会等) 5. 参考资料 四、设计时间与安排 1、设计时间: 2周 2、设计时间安排: 熟悉实验设备、收集资料:设计图纸、实验、计算、程序编写调试:编写课程设计报告:答辩:第1页 3 天 3 天3 天1 天 1. 前言(绪论) 人的血型有A 、B 、AB 、O 四种,每个人都拥有各自不同的血型,但并不是谁都清楚自己能接受哪些血型的人献血,能给哪些人献血。在献血、受血的时候,如果不清楚而搞错了,就会出很大问题。例如:如果B 型血输给O 型血,可引起凝集反应,也就是说血液凝结在一起,堵塞小血管,发生血液循环障碍,从而破坏肾功能,严重时甚至可致人死亡。 输血时输血者的血型与受血者血型必须符合图1中用箭头指示的授受关系。判断输血者与受血者的血型是否符合图1规定, 图1 血型匹配关系 血型匹配电路能够在操作人按下受血者血型的对应按钮后,通过指示灯显示输入的血的血型是否匹配,这样可以避免一些输血失误,为受血者的安全提供保障。有了这个血型匹配指示器后,在受血时,就不会出现那些不必要的错误而造成不必要的严重后果。 A 、B 、AB 、O 四种血型刚好可以用两个逻辑变量表示,在这里我们不妨设00代表血型A 、01代表血型B 、10代表血型AB 、11代表血型O 。由于我们是要来判断两个血型是否匹配,则我们需要用四个逻辑变量,通过对四个逻辑变量进行逻辑设计,从而得到所需要求电路。 我们选择一片74ls151八选一数据选择器,两片74ls00与非门,两个七段共阴极数码管和四个开关来实现血型鉴定电路。 2. 设计过程及分析 1 原理图 第2页 图2 设计原理图 2) 电路分析 电路中用了两个LED 灯来显示输血血型是否匹配,绿灯亮,表示输血可行,红灯亮,表示输血出错。 图2中用了四个开关A,B,C,D 。A,B 用来表示受血者血型,C,D 用来表示输血血型,其与实际血型对应关系如下图: 受血者的四种血型用变量A,B 及其组合表示,输血血型用C,D 及其组合表示,Y 表示输出,按照图1的对应关系,可以得到如下图3的真值表: 第3页 按照逻根据真值表,列出逻辑表达式: Y=A B C D +A B C D +A B C D+A BC D +AB C D +ABC D + AB C D+ABCD +ABCD 按照逻辑表达式,设计逻辑电路图: 74LS151有三个输入端口,在逻辑函数的四个输入变量中,任意确定三个变量作为74LS151输入量,因此选择方案有多种,例如确定ABC 、BCD 、ABD 、ACD 等为74LS151输入量,不同的方案得到的标准与或式的形式不同,因此电路结构也不同。这里我们只用一种方法: 如果ABC 为选择变量,且设A 为高位,则逻辑函数Y 的与或标准型表 达式为: Y=A B C D +A B C D +A B C D+A BC D +AB C D +ABC D + AB C D+ABCD +ABCD =m0D + m1D + m2 D + m3D + m5D + m6 + m 7 对应于八选一数据选择器的表达式可以得到: D4=0 D6=D7=1 D2=D D0=D1=D3=D5=D 根据逻辑表达式设计逻辑电路图如下: 图5 数据选择器电路图及LED 等显示电路 第4页 电路中还用了两个数码管来显示受血者的血型,操作者可以事先更 具受血者的血型进行设定。数码管显示对应的血型如下图: 图6 A型 图7 AB 型 图8 B型 图9 O型 数码管 1 的 g 管脚和 d 管脚由 C,D 变量控制,其它引脚接高电平, 一直保持显示状态,数码管二 2 个显示引脚都接高电平,而使能引脚 f 由 CD 控制,这样两个数码管就有三个变量,其电平高低由 CD 控制,下面是 对应的真值表: C D d g f A型 0 0 0 1 1 B型 0 1 1 1 1 AB 型 1 0 0 1 0 O型 1 1 1 0

文档评论(0)

3344483cc + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档