VHDL编程实现交通灯控制数电实验.ppt

  1. 1、本文档共34页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
交通灯设计 一、实验目的 1 .了解可编程逻辑器件设计的流程; 2 .掌握数码管动态扫描显示的实现方法; 3 .掌握状态机的设计方法; 4 .掌握进程的设计方法。 长江大学电工电子示范中心 二、设计任务与要求 长江大学电工电子示范中心 1 .实现正常时序控制功能; 2 .实现特殊状态控制功能; 3 .实现信号灯点亮时间预置功能; 4 .将东西方向、南北方向的灯亮时间分别用数码管显示; 5 .在 MAX PLUS 或 Quartus II 开发平台上,采用 VHDL 或 Verilog 编程设计; 6 .对编写的程序进行编译仿真、修改,使仿真结果达到设 计要求; 7 .下载并测试电路的逻辑功能。 2.1 、问题描述 设计并实现一十字路口的红、绿、黄三色交通 灯控制与显示电路,即每个路口设置一组红、黄、 绿交通灯,按图 1 所示情况变化,以保证车辆、行 人通行安全。 图 1 2,2 、功能分析 1 .基本功能(见前面图) 2 .扩展功能 ( 1 )特殊状态控制功能 特殊状态如紧急车辆随时通行功能受一开关控制,无急车 时,信号灯按正常时序控制。有急车来时,将特殊状态开 关按下,不管原来信号灯的状态如何,一律强制让两个方 向的红灯同时点亮,禁止其它车辆通行,同时计时停止; 特殊状态结束后,恢复原来状态继续运行。 ( 2 )信号灯点亮时间预置功能 控制电路在任何时候可根据实际情况修改信号灯点亮时间。 2.3 、设计思路: 1 .参考图 1 ,定义交通灯的状态,确定状态表; 状态 东西方向 南北方向 时间 ( s ) S 0 绿灯亮 红灯亮 T e S 1 黄灯亮 红灯亮 T y S 2 红灯亮 绿灯亮 T s S 3 红灯亮 黄灯亮 T y 三、程序设计思路 1 .状态转换的实现 在进程中利用自定义数据类型定义交通灯的 4 个状态, 根据东西、南北方向的时间计数器的计数结果利用 CASE 语句实现 4 个状态的转换,在每一个状态中利用内部信号 传递实现对红黄绿灯的显示控制。 FSM 设计方法 ? 分析控制器设计指标,建立系统算法模 型,即状态转换图; ? 分析被控对象的时序,确定控制器的有 限状态机的各个状态及输入、输出条件; ? 应用 VHDL 语言完成状态机的描述。 FSM 分类 ? Moore 型:输出信号仅与现态相关 ? Mealy 型:输出信号与现态和输入相关 DFFs Output Comb. Logic Feedback Comb. Logic DFFs Output Comb. Logic Feedback Comb. Logic Moore Mealy 现态 次态 输入 输出 现态 次态 输出 输入 FSM 构成 FSM 用来解决一般时序逻辑电路问题,包 括同步 / 异步时序逻辑 ? 状态寄存器 – 当前状态(现态)寄存器 ? 组合逻辑电路 – 下一状态(次态)组合逻辑 – 输出组合逻辑 Moore 和 Mealy 状态机描述的区别就在于 输出逻辑 进程 vhdl 语言描述 FSM 的建立过程 ? 定义状态机的状态 ? 定义状态变量 ? 描述状态机的进程 定义状态机的状态 ? 在使用状态机之前应该定义状态变量的枚举类 型,可以定义在状态机描述的源文件中,或者 是专门的程序包中。 ? 例子: TYPE state IS ( state1 , state2 ); 交通灯 type color is(greenred,yellowred,redgreen,redyellow); 定义状态变量 ? 定义两个状态机变量:当前状态和次态, 其中当前状态描述的是一组寄存器,而 下状态描述的是组合逻辑。 ? 例: signal current_state:state; signal next_state:state; 交通灯: signal current_state: color :=redgreen; signal next_state: color ; 描述状态机的进程 状态机的描述方式: 三进程、 二进程 、 单进程 ( 本实验采用 ) 一般采用三个

文档评论(0)

wangsux + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档