FPGA第三讲产生PWMSPWM波课堂.ppt

  1. 1、本文档共39页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
FPGA 产生 PWM 、 SPWM 波 1 FPGA---PWM ? PWM 是脉冲宽度调制( Pulse Width Modulation )的简称,它在自动控 制和计算机技术领域中都有广泛的应用。在电机控制、交流检测等实际 应用系统中, PWM 是整个系统的技术核心。因此,设计支持 PWM 输 出的芯片(或独立的电路功能模块)实用价值很大。 PWM 调制原理 PWM 波通常由一列占空比不同的矩形脉冲构成,其占空比与信号的瞬时采样 值成比例。图 1 所示为脉冲宽度调制系统的原理框图和波形图。该系统有一个 比较器和一个周期为 Ts 的锯齿波发生器组成。语音信号如果大于锯齿波信号, 比较器输出正常数 A ,否则输出 0 。因此,从图 1 中可以看出,比较器输出一 列下降沿调制的脉冲宽度调制波。 2 3 PWM 技术最初是在无线电技术中用于信号的调制, 后来在低频大功率电路中得到 了很好的应用。 在直流伺服控制系统中,通过专用集成芯片或中小规模数字集成电 路构成的传统 PWM 控制电路往往存在电路设计复杂、体积大、抗干扰能力差以及 设计困难、设计周期长等缺点,因此, PWM 控制电路的模块化、集成化已成为发 展趋势。 它不仅可以使系统体积减小、重量减轻且功耗降低,同时可使系统的可靠 性大大提高。随着电子技术的发展,特别是 ASIC (专用集成电路)设计技术的日趋 完善,数字化的 EDA (电子设计自动化)工具给电子设计带来了巨大变革,在水声 和超声、电机控制等许多应用场合, 需要产生多路频率和脉冲宽度可调的 PWM 波 形, 这可通过 FPGA 丰富的硬件资源和可以配置 I/O 引脚来实现。嵌入式系统中 FPGA 的应用设计关键是系统软硬件功能的划分。 1 .单路 PWM 发生器结构和原理 图 2 是实现的单路 PWM 硬件结构框图。 CPU 通过数据线向 FPGA 写入定时常数控 制 PWM 的频率、初始相位和占空比,并通过外部启动信号控制 PWM 的启动。 4 系统的工作过程: 采用 50M 时钟脉冲信号作为 PWM 生成器的时钟信号。首先 CPU 计 算出所需要输出 PWM 的频率,初始相位和占空比信息,通过数据线向 FPGA 内部寄 存器写入以上信息,并通过外部启动信号控制 PWM 的启动。 5 2 .多路 PWM 发生器的实现原理 通过上面的设计可以实现单路的 PWM 的 FPGA 设计,利用 FPGA 来扩展 I/O 接口, 可实 现多路 PWM (脉宽调制)输出,在超声、电机控制等许多应用场合,需要产生多路频 率,和脉冲宽度可调的 PWM 波形。 应用实现的单路的 PWM 的设计, 可以推广到多路 PWM 设计, 图 4 为多路 PWM 的系统 原理框图。 通过一个主控内部计算产生输出生成 PWM 所需的频率、初始相位、占空 比等参数,后级可并联数个 FPGA 芯片,来生成 PWM 波形,每片 FPGA 内部又可以 生成 6-10 路 PWM 信号,通过级联 FPGA ,可以产生多路不同频率、占空比、初始相 位的 PWM 信号, 有较好的同步及一致性, 对于需要多路 PWM 信号的系统实现有着很 强的实用性。 6 7 FPGA---SPWM ? 正弦脉宽调制 (SPWM) 技术在交流调速系统中得到广泛应用 , 但 SPWM 的波形生成是应用中一个难点 , 目前形成 SPWM 的 方法有 :(1) 由分立元件构成 , 结构简单 , 但可靠性和精度均不能 满足要求 ;(2) 采用计算机计算或查表方式 , 省却了硬件电路 , 但 CPU 负担很重 ; (3) 采用专用集成电路 , 如 SLE4520 、 SA4828 等 , 但其功能固定 , 可扩展性差 ;(4) 采用 FPGA( 现场可编门阵 列 ), 具有速度快、精度高且可以在线编程修改等优点 , 是一种 较好的方案 。 8 SPWM 原理;用输出的正弦信号作为调制波 , 用高频三角波作为载波 . SPWM 两个参数 : 载波比: N=F 三角 /F 正弦 (最好为 3 的倍数) 调制度: M=V 正弦 /V 三角 ( <1 ) 9 2 SPWM 波形控制器设计 系统由直接频率生成器产生低频正弦信号 , 然后与累加累减计数器产生的数字高速三 角波进行高速比较而产生 SPWM, 再经过死区控制模块产生死区。 2.1 系统构图 图 2 是 SPWM 波形控制器结构框图。 10 SPWM 波形产生设计 SPWM 波是根据三角载波与正弦调制波的交点而得到的一系列脉冲 , 其幅度不变而宽 度按正弦规律变化。利用 FPGA 生成 SPWM 信号 , 需要将数字三角载波数据与正弦调 制波数据进行实时比较。当三角波计数器数据比正弦调制波数据大

文档评论(0)

wangsux + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档