8位加法器设计程序过程.docxVIP

  1. 1、本文档共8页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
实验8位加法器设计 一、 实验目的 熟悉利用QuartusU的图形编辑输入法设计简单组合电路, 掌握层次化设计 方法,并通过8位全加器的设计,进一步熟悉利用 EDA软件进行数字系统设计 的流程。 二、 实验仪器与器材 计算机1台,GW48-PK2故验箱1台,QuartusU 1套。 三、 实验内容 基本命题 利用图形输入法设计一个一位半加器和全加器,再利用级联方法构成 8位 加法器。 扩展命题 利用文本输入法设计4位并行进位加法器,再利用层次设计方法构成 8位 加法器。通过时序仿真,比较两种加法器的性能。 四、实验设计思路 ②设计全加器 ③设计申行级联8位加法器 ④仿真波形图 对以上的串行级联加法器进行仿真。设置时钟频率为 /1/10ns。每 20ns 对 a,b 输入口进 行+2操作。所得结果见图2-8。由图可知延时大约为 14ns。 'll 10 01 Ml 10 僚 TS n 10. Op u J ] '0 ' Jt - 2 D J : £ 0 EN 1B5 5 10 D m ? |』Pomtftr. 图2-4串行级联加法器仿真波形图 对以上的串行级联加法器进行仿真。 设置时钟频率为/。每10us对a,b输入口进行+2操 作。所得结果见 图2-4。由图可知延时大约为 10us。 五、 实验要求 将实验原理、设计过程、编译仿真波形和分析结果、硬件测试实验结果写 进实验报告。 六、 实验思考题 与单一设计文件比较,实现层次化设计应注意哪些问题 答:实现层次化设计需要注意的是:假设 B设计中引用A设计,那么需要将A 设计的工程文件放在B设计的工程文件中,另外,B设计的工程必须要以B的实 体名称对应,不然仿真的时候会出错。 比较图形编辑和文本编辑两种 8位二进制加法器的性能,分析它们的主要 异同点。以下是文本编辑的参考程序。 1) 4位二进制数加法器ADDER4B的VHDL描述 L旧RARYEEE; USE ADDER4BS PORT( CIN4 :IN STD_LOGIC A4 : INSTD_LOGIC_VECT A4 : INSTD_LOGIC_VECT (3FD OWNTO0); B4 : IN STD_LOGIC_VECT(3FDOWNTOO); S4 :OUTSTD_LOGIC_VECT(3F S4 :OUTSTD_LOGIC_VECT (3FD OWNTOO); COUT4 :OUTSTD_LOGIC END ADDER4B; ARCHITECTURfehav OF ADDER4BS SIGNALAA,BB :STD_LOGIC_VECT(4FDOWNTO0); BEGIN AA<=0'&A4; BB<=0'&B4; SINT <= AA + BB + CIN4; S4 <= SINT(DOWNTO0); COUT4 <= SIN4); END behav; T.n Bv 2001 ia 卜jDOu 8 End Yu v 机写* 河晖* 图2-9ADDER4B&真波形图 对以上的ADDER8础行仿真。设置时钟频率为 /。每10us对a,b输入口进行+2操作。 所得结果见图2-9。由图可知延时大约为 20us。 2)应用ADDER4B?计8位二进制数加法器 ADDER8B勺VHDL描述 L旧RARYEEE; USE ADDER8日S PORT( CIN :IN STD_LOGIC A : IN STD_LOGIC_VECT(7FDOWNTO0); B : IN STD_LOGIC_VECT(7FDOWNTOO); S :OUTSTD_LOGIC_VECT(7FDOWNTOO); COUT :OUTSTD_LOGIC; END ADDER8B; ARCHITECTUREruc OF ADDER8BS COMPONENTADDER4B PORT( CIN4 : IN STD_LOGIC A4 :IN STD_LOGIC_VECT(3FDOWNTOO);B4 :INSTD_LOGIC_VECT(3RD A4 : IN STD_LOGIC_VECT (3FD OWNTOO); B4 : INSTD_LOGIC_VECT (3RD OWNTOO); S4 :OUTSTD_LOGIC_VECT (3RD OWNTOO); COUT4 :OUTSTD_LOGIQENDCOMPONENT COUT4 :OUTSTD_LOGIQ ENDCOMPONENT BEGINU1: BEGIN U1:ADDER4B --例化一个4位二进制加法器U1 PORTMAP ( CIN4 => CIN, A4 => A(DOWNTO0), B4 => B3 DOWNTO0), S4 => S( DOWNTO0), COUT4 => CARRY_OUT

文档评论(0)

wuchunjia1 + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档