设计一个比较电路,当输入的8421BCD码大于5时输出为1,否则输出为0.docx

设计一个比较电路,当输入的8421BCD码大于5时输出为1,否则输出为0.docx

  1. 1、本文档共4页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
设计一个比较电路,当输入的 8421BCD 码大于 5 时 输出为 1,否则输出为 0 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY BCD IS PORT( A : IN STD_LOGIC_VECTOR(3 DOWNTO 0); B : IN STD_LOGIC_VECTOR(3 DOWNTO 0); Q : OUT STD_LOGIC ); END ENTITY; ARCHITECTURE BHV OF BCD IS BEGIN PROCESS(A,B) BEGIN IF ( A<B) THEN Q<='0'; ELSE Q<='1'; END IF; END PROCESS; END BHV; ifi c€5-^Ka P--1- Qjg一 21Mg Igp再去一 21臺 看—Mid囤NW邑呂d 31—NId肖—召d AAivvw Hol<! £ Assigns a hcaliixi on iht device far the carrmt nod豊闾 and/or 闻歯, X J 刃-: 刃=: ^ululnsMqLL-?-S&HUA-EIPW^ &BG£? 舀g Itsg. IDl-Jw.wmvm—JUULWJWJ-———:--=--一一--=--------------------=--一一--= 5=e eu J F〕“u」 -IJ~T--_—~7」一B竺-pl^3兰活5二嘉毘也£殆品岂好番洛童品邑mzs岁豈< 1O S i=i ” CZ> >< ~~ 1 -- ; 醫 ? q 1両 e A M M cz> s pa o ■an £ LO 邑 V 1 s 1 至 巨 V n n 1*1 C3* Fl 树■] >1 X[ I 用 [ntbrrngbion : | Ibis cel spedfies the pin name to 闌址h vxhj want to rate <r> ?59gnment, fiit: x』lax Locabon 1 General FunctiDr Speoal Function Reserved Enabled 1 P1N 126 Dedicated inpot Yes 2 l?0[0] PIN 73 CiAimnIA) ?心 J iron PIN 78 Rml/O YeS 4 ?-[3] PJN 7? Rflrtl/O Yes & ?*D国 P3N_S0 Yes & 呼叩] PlN^Bl RdwI/G Yes 7 国 PINJ2 Rm I/O Yes 8 "來] PINJ@3 血曲 Y? 孑 呻卫71 PIN _B6 R^I/O Yes. 10 <**=007 PIN 9t RdiiI/D Yes li ?nm? ?REW?

文档评论(0)

dajiefude2 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档