- 1、本文档共5页,可阅读全部内容。
- 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
- 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载。
- 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
开关控制信号产生详解
一.软件使用
打开软件Quartus II
打开project:D:zll\controler\controler.qpf
指定可编程器件
Assignments-Device
在family中选择MAX3000A,我们所用的CPLD芯片为:EPM3064ATC44-10
分配输入输出引脚
Assignments-Pins
首先选择时钟输入引脚37脚;
然后选择各个输出引脚,根据CPLD版PCB的布线,我们选择I/O 12 ~ 21分别对应out1~8,如下图所示。
编译程序。Processing - Start Compilation
下载。
将CPLD板通电后接Jtag线(红线对准1号口)
在软件中打开:Tools - Programmer
在HARDWARE Setup中选择:ByteBlasterII [LPT1]。如下图:
在file中将Program/Configture , Verify, Blank-Check, Security Bit勾中。点击start开始下载,如下图:
下载成功。
二.程序说明
1. 打开controler.vhd文件如下,绿色部分为程序注释
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all; --软件库
entity controler is --定义输入输出
port(clk:IN std_logic; -- 输入即为时钟信号
dout1:OUT std_logic; -- 8个输出分别对应8个开关控制信号,Φz
dout2:OUT std_logic; --Φx1
dout3:OUT std_logic; --Φy1
dout4:OUT std_logic; --Φx2
dout5:OUT std_logic; --Φy2
dout6:OUT std_logic; --Φfb
dout7:OUT std_logic; --Φg
dout8:OUT std_logic); --Φs
end controler;
architecture rtl of controler is
signal a:integer range 0 to 30; --定义计数器
signal xclk:std_logic_vector (1 downto 0);
--定义分频时钟,分两位则将4MHz时钟xlk分为初始频率的1/4,3位则是1/8
begin
process(clk) --分频
begin
if clkevent and clk=1 then
xclk = xclk+1;
end if;
end process;
process(xclk)
begin
if xclk(1)event and xclk(1)=1 then
a=a+1; --计数输出
case a is
--对应开关控制信号表,在每一个时钟周期,设置8个开关控制信号对应的状态,1为--接通,0 为关断
when 1 | 2 | 3 | 4 | 5 | 6 | 7 | 8 | 9 | 10 | 11 | 12 =
out1=1;dout2=0;dout3=1;dout4=0;dout5=0;dout6=1;dout7=0;dout8=0;
--在xlk1~12这几个时钟周期里,从开关控制信号表中可以知道,Φz为高电平,Φx1为--低电平,…..,以此类推,下同
when 13 =
out1=1;dout2=0;dout3=1;dout4=0;dout5=0;dout6=0;dout7=0;dout8=1;
when 14 =
out1=1;dout2=0;dout3=1;dout4=0;dout5=0;dout6=0;dout7=1;dout8=0;
when 15 =
out1=0;dout2=1;dout3=0;dout4=0;dout5=0;dout6=0;dout7=1;dout8=0;
when 17 | 18 | 19 | 20 | 21 | 22 | 23 | 24 | 25
您可能关注的文档
- 金属机加工零件表面粗糙度与加工公差等级的通用选配.doc
- 金属加工《焊接》练习题.doc
- 金属性 非金属性 碱性 酸性 氧化性 还原性 稳定性.doc
- 金属性 非金属性强弱比较.doc
- 紧急切断阀价格.doc
- 紧急切断装置.doc
- 紧急停止的按键开关和一般用途的按键开关的区别.doc
- 紧紧扭住坚定信念这个“总开关”.doc
- 经典二人武侠组合.doc
- 井口装置材料规范.doc
- 四川省德阳市罗江中学2025届高三考前热身化学试卷含解析.doc
- 山东省枣庄现代实验学校2025届高三下学期第五次调研考试化学试题含解析.doc
- 吉林省长春市十一高中等九校教育联盟2025届高三一诊考试生物试卷含解析.doc
- 2025届江苏省盐城市伍佑中学高考仿真模拟化学试卷含解析.doc
- 2025届广西贺州中学高考冲刺押题(最后一卷)生物试卷含解析.doc
- 安徽省池州市贵池区2025届高三第一次模拟考试生物试卷含解析.doc
- 宁夏银川一中2025届高三(最后冲刺)化学试卷含解析.doc
- 广东省广州市增城区四校联考2025届高考压轴卷化学试卷含解析.doc
- 2025届邯郸市第一中学高考生物必刷试卷含解析.doc
- 2025届安徽省安庆市石化第一中学高考仿真卷化学试卷含解析.doc
最近下载
- 樊登老师推荐:《你就是孩子最好的玩具》(完整版).pdf
- 凯迪克金奖原版绘本Sylvester and the Magic Pebble.pdf
- 外廓尺寸检测系统作业指导书.docx
- 初中教科研课题:《初中语文主题阅读教学的实践探究》子课题结题报告 .pdf
- 初中物理《声现象》.ppt VIP
- 最新2023版知识产权贯标GBT29490表单 知识产权目标策划管理方案[知识产权合规管理体系文件].docx
- (2024版)AOPA无人机驾驶证认证考试题库-上部分(500题)(含答案).pdf VIP
- 《中国共产党简史》第四章 夺取新民主主义革命的全国性胜利.pptx VIP
- 医院创建优质服务基层行创建资料(3.4.3护理安全管理).docx VIP
- 初三家长会班主任的发言稿.doc VIP
文档评论(0)