杭电计组实验5-存储器设计实验.doc

  1. 1、本文档共7页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多

杭电计组实验5-存储器设计实验

实验报告

2018年5月5日成绩:

姓名

阳光男

学号班级专业

计算机科学与技术

课程名称

《计算机组成原理与系统结构试验》

任课老师

张翔老师

指导老师

张翔老师

机位号

默认

实验序号

5

实验名称

《实验五存储器设计实验》

实验时间

2018/5/12

实验地点

1教211

实验设备号

个人电脑、Nexys3开发板

一、实验程序源代码

存储器顶层电路代码:

moduletop_RAM_B(Mem_Addr,C,Mem_Write,Clk,LED);

input[7:2]Mem_Addr;//开关的3、4、5、6、7、8位

inputMem_Write,Clk;//clk为按键C9,读写使能信号为按键C4,0为读,1为写

input[1:0]C;//选择写入数据+读操作时选择显示字节,为开关1、2位

outputreg[7:0]LED;

wire[31:0]M_R_Data;//存在存储器里的32位读出数据

reg[31:0]M_W_Data;//寄存在暂存器的32位写入数据

RAM_Btest_ram(

.clka(Clk),//输入时钟信号

.wea(Mem_Write),//输入读写信号

.addra(Mem_Addr[7:2]),//输入5位地址信号

.dina(M_W_Data),//写入32位数据

.douta(M_R_Data)//读出32位数据

);

always@(*)

begin

LED=0;//初始化

M_W_Data=0;//初始化

if(!Mem_Write)//读操作

begin

case(C)

2b00:LED=M_R_Data[7:0];//读出数据的0-7位

2b01:LED=M_R_Data[15:8];//读出数据的8-15位

2b10:LED=M_R_Data[23:16];//读出数据的16-23位

2b11:LED=M_R_Data[31:24];//读出数据的24-31位

endcase

end

else

begin

case(C)

2b00:M_W_Data=32h0055_7523;//写入第一个数据

2b01:M_W_Data=32h1234_5678;//写入第二个数据

2b10:M_W_Data=32h8765_4321;//写入第三个数据

2b11:M_W_Data=32hffff_ffff;//写入第四个数据

endcase

end

end

endmodule

测试代码:

moduletest;

//Inputs

regclka;

reg[0:0]wea;

reg[5:0]addra;

reg[31:0]dina;

//Outputs

wire[31:0]douta;

//InstantiatetheUnitUnderTest(UUT)

RAM_Buut(

.clka(clka),

.wea(wea),

.addra(addra),

.dina(dina),

.douta(douta)

);

initialbegin

//InitializeInputs

clka=0;

wea=0;

addra=0;

dina=0;

//Wait100nsforglobalresettofinish

#100;

//Addstimulushere

clka=1;

wea=0;

addra=6b000001;

dina=32h0000_0003;

#100;

clka=0;

wea=0;

addra=6b000001;

dina=32h0000_0607;

#100;

clka=1;

wea=1;

addra=6b000001;

dina=32hFFFF_FFFF;

#100;

clka=0;

wea=1;

addra=6b000001;

dina=32hFFFF_FFFF;

end

endmodule

二、仿真波形

三、电路图

文档评论(0)

157****0898 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档