【数字电路及系统设计】用VHDL进行数字系统设计.ppt

【数字电路及系统设计】用VHDL进行数字系统设计.ppt

  1. 1、本文档共122页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
用VHDL进行数字系统设计 前言 EDA-Electronic Design Automation(电子设计自动化) VHIC-Very High speed Integrated Circuit HDL- Hardware Description Language VHDL-VHIC HDL 电子设计自动化的关键技术 用形式化方法描述数字系统的硬件电路。 80年代后期美国国防部开发出VHDL,1993年推出修订版 Verilog HDL 1993年 与C接近 95年以后国内开展这方面工作 数字系统硬件设计概述 传统的硬件设计方法 传统的设计方法是自下而上的设计方法 采用通用的元器件 后期进行仿真,浪费大,设计周期长 主要设计文件是电路原理图,可读性差,文件量大 数字系统硬件设计概述 数字系统硬件设计概述 VHDL 语言描述的范畴 ASIC电路设计 局部电路的设计和仿真 系统的硬件、软件的协同设计 VHDL语言的基本结构 一般的高级语言: 描述算法运算,是控制流,目的是实现过程控制。 VHDL语言: 描述具体的数字系统或数字电路的功能,目的是生成硬件电路,采用的主要是并发语言。 VHDL语言的基本结构 VHDL 语言的基本结构: 库说明+包集合+实体+构造体+(配置) 库:用来存放已经编译的实体、构造体、包集合和配置。 库一般可由用户产生或者由ASIC芯片制造商提供。 包集合:存放各设计模块都能共享的数据类型、常数和子程序。 实体:系统的外部接口关系。 构造体:描述系统内部的结构和行为。 配置:用于从库中选取所需的单元,来组成系统设计的不同版本。 VHDL语言的基本单元 VHDL语言的基本单元 一:VHDL语言描述方法 电原理图的描述方式,其中a,b是输入,c是输出,C=AB。 如果用VHDL 语言来 描述此电路: 实体描述输入、输出, 构造体描述功能。 实体+构造体=基本单元 二:实体说明 ENTITY 实体名字 IS PORT (端口名,…); END 实体名; 说明: 1:实体名可用英文字母、数字、下划线表示 ,名字开头 只能为英文字母,结尾不能用下划线。 2:PORT(端口名:方向 数据类型); 端口名与实体名命名规则相同。 方向: IN 表示输入 OUT 表示输出 INOUT 表示输入输出 BUFFER 表示输出端带有反馈类型。 数据类型:与一般高级语言类似,有许多种,但一般常使用两种。 BIT :位 BIT_VECTOR :位矢量 表示数据总线 D0---D7的数据类型 BIT_VECTOR(7 DOWNTO 0); 例:LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY and2 IS PORT (a,b:IN BIT;c:OUT BIT); END and2; ARCHITECTURE rtl OF and2 IS BEGIN c<=a AND b; END rtl; 大写 保留字 小写 名称 VHDL语言对大写小写无区分, 大写小写有利于阅读。 上节课主要内容复习 VHDL 语言的基本结构: 库说明+包集合+实体+构造体 库:用来存放已经编译的实体、构造体、包集合和配置。 库一般可由用户产生或者由ASIC芯片制造商提供。 包集合:存放各设计模块都能共享的数据类型、常数和子程序。 实体:系统的外部接口关系。 构造体:描述系统内部的结构和行为。 上节课主要内容复习 基本设计单元 实体说明:接口信号 ENTITY and2 IS PORT( a,b: IN BIT; c: OUT BIT) END and2; 构造体:内部逻辑和时序 ARCHITECTURE rtl OF a

文档评论(0)

精品资料 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档