BCD七段显示译码器的设计报告.docx

  1. 1、本文档共7页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
实验二、BCD七段显示译码器的设计 实验目的 学习利用VHDL语言设计BCD七段显示译码器的方法,掌握BCD七段显示译 码器的设计思路:掌握软件工具的使用方法。 实验原理 bed七段显示译码器真值表1 输入 输出 数字 A3 A2 A1 A0 Ya Yb Ye Yd Ye Yf Yg 字形 0 0 0 0 0 1 1 1 1 1 1 0 0 1 0 0 0 1 0 1 1 0 0 0 0 1 2 0 0 1 0 1 1 0 1 1 0 1 2 3 0 0 1 1 1 1 1 1 0 0 1 3 4 0 1 0 0 0 1 1 0 0 1 1 4 5 0 1 0 1 1 0 1 1 0 1 1 5 6 0 1 1 0 1 0 1 1 1 1 1 6 7 0 1 1 1 1 1 1 0 0 1 0 7 8 1 0 0 0 1 1 1 1 1 1 1 8 9 1 0 0 1 1 1 1 1 0 1 1 9 10 1 0 1 0 1 1 1 0 1 1 1 A 11 1 0 1 1 0 0 1 1 1 1 1 B 12 1 1 0 0 1 0 0 1 1 1 0 C 13 1 1 0 1 0 1 1 1 1 0 1 D 14 1 1 1 0 1 0 0 1 1 1 1 E 15 1 1 1 1 1 0 0 0 1 1 1 F 实验内容 1.用VHDL语言设计BCD七段显示译码器,进行编译、波形仿真及器件编程。 (代码一详见附录)产生仿真波形如下: ValueAName:H3H5E0X1X2 Value A Name: H3H5E 0 X 1 X 2 X 3 X 4 X 5 X 6 X 7 X 8 X 9 3F X 06 K 5B 4F K 66 X 6D K 7D X 27 X 7F X 6F 100.0ns 200.0ns 300.0ns 400.0ns 500.0ns 600.0ns 700.0ns 800.0ns 900.0ns BCD七段显示译码器仿真1 分析: 问:给定的代码驱动的是共阴极还是共阳极的七段数码管显示器? 由BCD七段显示译码器真值表可知是共阴极七段数码管显示器。 2?用VHDL语言设计译码器,进行编译、波形仿真及器件编程。(代码二详 见附录)产生仿真波形如下: IAX4plus II c:\Biix2vork\bB3. 8 - [b?3 8.- Vavrfor? Rditor)Q II 1119 fidit tfode 从”5 Qpt>o?t fandov yipDDR国A36NameValueH3H04Ref |XO0mJ Intervil |47 4n$0jL 1r~5— IAX4plus II c:\Biix2vork\bB3. 8 - [b?3 8.- Vavrfor? Rditor) Q II 1119 fidit tfode 从”5 Qpt>o?t fandov yip DDR国 A 36 Name Value H3 H04 Ref |XO0m J Intervil |47 4n$ 0 jL 1 r~5— 5 A X -i 7 X o J 1 01 X g "X 04 X io X 20 40 X 80 c X 02 OTfxl -X k? ?BbQd出(£D 爲 4 亦农畫 83 严E 100 0ns 2030ns 400 0f>9 5030ns 800 0ns 1( 600 0ns 7000ns 9000ns 3?8译码器仿真1 Ui 实验心得 在实验屮,通过BCD七段显示译码器真值表真值表得到BCD七段显示译码器case 代码。同理通过8-3译码器真值表修改BCD七段显示译码器case代码得到8-3 译码器case代码。 附录 代码一(BCD七段显示译码器代码人 library ieee; use ieee. std_logic_l164.all; entity bcdym is port (a:in std_logic_vcctor(3 downto 0); y:out std_logic_vector(6 downto 0)); end bcdym; architecture zhang of bcdym is begin process (a) begin case a is when〃0000〃二〉y〈二〃0111111〃 ; when'OOO1〃二〉y〈二〃0000110〃; 二-e ?寸 9I「^MO「P4S osn AJfq 二“ (hg年谿a:程75 "ueqz pu① -ss①3Id pu① -Qseo puo LI000 二rH〉A〈HSJ0q40 uoqM LIOOI 二rH〉A〈 Jo二rUQqM Jo 二二 orH〉A〈JIOIrUMM -、、s2iio、ii〉a〈

文档评论(0)

ggkkppp + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档