EDA 五人表决器_原创精品文档.pdfVIP

  1. 1、本文档共6页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多

西安欧亚学院

信息工程学院

电子技能训练实训报告

2014--2015学年第二学期

专业班级:统本通信1301班

姓名学号:侯伟

08

指导教师:张秀芳

实训成绩:

1

目录

1.设计任务3

2.设计目的3

3.设计要求3

4.任务分析3

5.程序代码4

6.设计仿真5

7.实验总结5

2

1.设计任务

设计一个5人表决器,参加表决者7人,同意为1,不同意为0,同意者

过半则表决器通过,最右侧灯亮;表决不通过则最左侧指示灯亮。

2.设计目的

(1)学习使用EDA开发工具QUARTUSⅡ。

(2)学会使用VHDL语言设计五人多数表决器。

(3)熟悉五人多数表决器的原理。

3.设计要求

(1)五人多数表决逻辑:多数通过。

(2)在主持人控制下,10秒内表决有效。

(3)采用数码管显示表决10秒倒计时。

(4)表决结束后用发光二极管结果形式:通过,不通过。

(5)设主持人控制键、复位键。

控制键:启动表决;复位键:系统复位。

4.任务分析

五人多数表决,只要在规定时间内,赞成人数大于或等于四,则表决通过。

因此,只需将每位表决人的结果相加,判断结果值。设五个开关作为表决器的五

个输入变量,输入变量为逻辑“1”时,表示表决者“赞成”;输入变量为“0”

时,表示表决者“不赞成”。输出逻辑“1”时,表辑“1”时,表示表决者“赞

成”;输入变量为“0”时,表示表决者“不赞成”。输出逻辑“1”时,表示表决

“通过”;输出逻辑“0”时,表示表决“不通过”。当表决器的五个输入变量中

有4个以上(含4个)为“1”时。则表决器输出为“1”;否则为“0”。

3

5.程序代码

libraryieee;

useieee.std_logic_1164.all;

useieee.std_logic_unsigned.all;

entitybjqis

port(

A1,A2,A3,A4,A5,A6,A7:instd_logic;

Q1,Q2:outstd_logic;

B1,B2,B3,B4,B5,B6:outstd_logic

);

end;

architecturebhvofbjqis

signalz:std_logic;

文档评论(0)

177****3106 + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档