【精品】MAX+plus_II基本操作.pdf

  1. 1、本文档共45页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
MAX+plus II 基本操作 2002 年5 月 MaxPlus II 基本操作 1.1 MAX+plus II 概述 Altera 公司的MAX+plus II 软件是易学、易用的可编程逻辑器件开发软件。其界面 友好,集成化程度高。本章以MAX+PLUS II10.0 为例讲解该软件的使用。 Altera 公司为支持教育,专门为大学提供了学生版软件,其在功能上与商业版类似, 仅在可使用的芯片上受到限制。以下为10.0 Baseline 所具有的功能。 1.1.1 MAX+plus II 10.0(Baseline)的功能 1.支持的器件 所支持的器件有:EPF10K10, EPF10K10A, EPF10K20 ,EPF10K30A 以及MAX® 7000 系列(含MAX 7000A, MAX 7000AE, MAX 7000E, MAX 7000S ),EPM9320, EPM9320A, EPF8452A, and EPF8282A ,FLEX 6000/A 系列,MAX 5000 系列,ClassicTM 系列。 2 .设计输入 常用的设计输入的方法有:通过 Max+plus II 图形编辑器,创建图形设计文件(gdf 文件) ;通过Max+plus II 文本编辑器,使用AHDL 语言,创建文本设计文件(.tdf) ;使用 VHDL 语言,创建文本设计文件(.vhd) ;使用Verilog HDL 语言,创建文本设计文件(.v) 。 还可以通过Max+plus II 波形编辑器,创建波形设计文件(.wdf) 。 3 .设计编译 通过Max+plus II 编译器完成,可检查项目是否有错,并对项目进行逻辑综合,然后 配置到Altera 器件中,同时产生报告文件、编程文件和用于后仿真的输出文件。 4 .设计验证 通过Max+plus II 的定时分析器进行时序分析、功能仿真、时序仿真和波形分析,生 成标准文件,可以给第三方工具使用。 5 .器件编程(Programming)和配置(Configuration) 6 .在线帮助 1.1.2 系统要求 MAX+plus II 软件对计算机的要求较低: 操作系统:Windows 95/98 或 Windows NT 4.0 ; 安装所占空间:80 Mbytes ; 内存要求:可用内存48MB (物理内存及虚拟内存之和),其中物理内存至少16MB。 - 2 - MaxPlus II 基本操作 1.2 MAX+plus II10.0 的安装 1.2.1 MAX+plus II 10.0 的安装 MAX+PLUS II10.0 的安装非常简单,只要按照安装向导操作即可。其安装步骤如下: (设安装在D :盘) (1) 从CD-ROM 中的baseline 目录下运行setup.exe,出现图1-1。 图1-1 安装封面 在安装封面之后出现图1-2。 图1-2 安装准备 - 3 - MaxPlus II 基本操作 单击“Next ”出现另一窗口,在此窗口中单击“Next ”后出现图1-3。 图1-3 公司与用户的协议 单击“Yes ”,表示接受此协议。此时出现一提示,告知你需要一个license 文件来运 行程序,单击此提示中的“Next ”,出现图1-4。 图 1-4 输入用户名 (2) 输入用户名等,单击“N

文档评论(0)

亮剑 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档