速度监控器 数字电路课程设计.docVIP

  1. 1、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。。
  2. 2、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  3. 3、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  4. 4、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  5. 5、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  6. 6、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  7. 7、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
大连理工大学本科实验报告 数字电路课程设计 ——速度监控器 课程名称: 数字电路课程设计 学院(系): 信息与通信学院 专 业: 电子信息工程(英语强化) 班 级: 学生姓名: 学 号: 完成日期: 2014.7.11 成 绩: 速度监控器 1 设计要求 需要写出系统的功能、各项设计指标,要求叙述清楚,明确。 速度监控器具有以下功能 显示当前速度:在两个7段数码显示器上显示当前速度,速度范围为0到99千米/小时. 设置监控速度:每按一次速度选择(speed)按钮,选择下一个被监视速度(35,45,55,60,65,70,75,80km/h)。针对每种被监视的速度,设有一个LED,选中一个速度后,对应的LED就会被点亮。 速度预警:当汽车接近监视速度是会发出警报。当速度离设定值还差3km/h或更少时,预警LED(红)灯闪烁,频率为1Hz;当速度超过设定值时,另一警报LED(红)灯连续发亮。 设置当前速度:①打开置数开关后,可以设置当前速度。使用8421BCD码,分为高位(4位二进制数)和低位(4位二进制数)。②速度增加(faster)按钮:每按一次速度增加按钮,速度增加1km/h 速度保持:按下keep键后,速度保持在当前状态。 6. 自动档:设定完监控速度后,按下control键,再按faster键,当速度增加到被监控速度后,继续按faster键,速度不再增加,保持为监控速度。 7. 速度清零:按下rst键后,速度归零。 2 设计分析及系统方案设计 应该有对设计要求进行分析的文字说明,在此基础上给出系统总体结构框图。 1.监控速度选择speed由 3系统以及模块硬件电路设计 根据上述给出的系统总体结构框图,给出系统硬件电路设计电路图,并作必要的说明和理论计算。DE2系统的电路图可以参考使用手册,FPGA芯片以及相关电路可以用一个“FPGA最小系统”框图代替,对于额外增加的部分要给出电路和设计参数。 DE2开发板上使用的元件要给出使用管脚编号。 4 系统的VHDL设计 系统的各个部分如何用VHDL设计出来的应该有说明,包括论述以及真值表或者状态图等。 要求:系统(或顶层文件)结构描述,各个模块(或子程序)的功能描述; (1)源代码; (2)信号(SIGNAL)/变量(VARIABLE)的含义;[--以注释方式说明] (3)每一个进程(PROCESS)或子程序的主要功能;[--以注释方式说明] (4)关键语句;[--以注释方式说明] library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity shantaram is port(clk1,rst0: in std_logic;-- clk2,clk4,rst,keep,load,control: in std_logic; clk3,clk5,rst1:buffer std_logic; hex7,hex6,hex5,hex4,hex3,hex2,high,low:out std_logic_vector(6 downto 0); y: buffer std_logic_vector(7 downto 0); unit,decade:in std_logic_vector(3 downto 0); led1,led2: buffer std_logic); end; architecture data of shantaram is signal s: std_logic_vector(3 downto 0); signal num: std_logic_vector(3 downto 0); signal th,tl: std_logic_vector(3 downto 0); signal compare: std_logic_vector(7 downto 0); signal en,ent:std_logic; signal auto: std_logic_vector(7 downto 0); begin hex7=1111111; hex6=1111111; hex5=1111111; hex4=1111111; hex3=1111111; hex2=1111111; --不使用的数码管全灭 p

文档评论(0)

nnh91 + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档