EDA第二章课后习题答案.docVIP

  1. 1、本文档共4页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
EDA第二章课后习题答案.doc

EDA第二章课后答案 2-1、INOUT为输入输出双向端口,即从端口内部看,可以对端口进行赋值,即输出数据。也可以从此端口读入数据,即输入。 BUFFER为缓冲端口,功能与INOUT类似,区别在于当需要读入数据时,只允许内部回读内部产生的输出信号,即反馈。举个例子,设计一个计数器的时候可以将输出的计数信号定义为BUFFER,这样回读输出信号可以做下一计数值的初始值。 OUT顾名思义是只能单向输入数据了。 2-2. 2-3、HYPERLINK /search?word=常量fr=qb_search_expie=utf8常量constant、变量variable、信号signal,VHDL93还增添了另一种对象file。 HYPERLINK /search?word=常量fr=qb_search_expie=utf8常量用于描述向设计实体输入的固定值,如总线的宽度、用于HYPERLINK /search?word=时序fr=qb_search_expie=utf8时序仿真的延迟时间、负载的大小(个数)、元件的个数等等;变量通常用于行为描述中,是一种为了便于行为描述而声明的对象,不一定有具体的硬件对应,因此往往在综合之后就不见了;而信号则具体指硬件中的HYPERLINK /search?word=信号线fr=qb_search_expie=utf8信号线,即元件之间的连线,或者设计实体的端口连线,因此端口port必定是signal类型的对象。信号在综合之后一定会有硬件连线与之对应。 2-4、BIT,INTEGER和BOOLEAN都定义在STD库中,STD库,WORK库,STD.STANDARD程序包总是可见的。 2-5、 2-6 6、 (详见课本P35-P36) 3-6、同步复位和异步复位。同步复位是指与HYPERLINK /search?word=时钟同步fr=qb_search_expie=utf8时钟同步,当复位信号有效之后,出现时钟有效边沿时才对电路模块进行复位操作;而异步复位与HYPERLINK /search?word=时钟信号fr=qb_search_expie=utf8时钟信号无关,只要复位信号有效,无论这时HYPERLINK /search?word=时钟信号fr=qb_search_expie=utf8时钟信号是什么样,都对电路模块进行复位操作。 例如同步复位HYPERLINK /search?word=D触发器fr=qb_search_expie=utf8D触发器: IF clockevent AND clock=1 THEN IF reset_n=0 THEN q = (OTHERS = 0); ELSE q = d; END IF; END IF; 异步复位HYPERLINK /search?word=D触发器fr=qb_search_expie=utf8D触发器: IF reset_n=0 THEN q = (OTHERS = 0); ELSIF clockevent AND clock=1 THEN q = d; END IF; 3-10、解:4000000/516.5=7744 将7744 转化成16进制=1E40 FFF

文档评论(0)

aiwendang + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档