PPM基带系统的建模与VHDL设计课程设计论文.docVIP

PPM基带系统的建模与VHDL设计课程设计论文.doc

  1. 1、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。。
  2. 2、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  3. 3、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  4. 4、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  5. 5、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  6. 6、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  7. 7、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
课程设计整个设计过程的主要内容是使用VHDL语言编程在FPGA上实现一个PPM基带系统,该系统能实现PPM信号产生、PPM信号解调等功能。主要使用Quartus II工具软件编写VHDL程序实现该PPM基带系统,并下载程序,在可编程逻辑器件实验板上进行测试。 PPM的原理是将一段时间分成M等分,每等份称为一个时隙,在一帧的时间内的某个时隙发出一个脉冲。这一帧时间就是一个PPM信号,它包括M个时隙和一个保护时间。设一帧传输时间为T,那么信息传递速率 bit/s PPM调制与解调系统的主要功能如下:对输入的数字信号进行调制得到窄脉冲PPM信号以便在信道上传输;接收端对接收到的PPM信号进行解调还原出数字信号。 PPM调制实际上就是一个计数输出脉冲的过程。时隙分频器的分频比由脉宽控制信号控制,帧分频器对时隙信号计数,当计数值与调制数据相比较,当二者相等时就输出脉冲,当计数值与调制的进制数相等时就输出帧信号。输出的PPM脉冲信号和帧信号经过输出模块输出给解调器。同时时隙信号也输出给解调器。 PPM解调器计数其对时隙信号计数,当出现PPM脉冲时就输出计数值,帧信号的作用是对计数器清零。解调后的数据经过输出模块输出。 图1:PPM基带系统模型 PPM的原理:将一段时间分成M等份,每等份称为一个时隙,在一帧的时间内的某个时隙发出一个脉冲。这一帧时间就是一个PPM信号,它包括M个时隙和一个保护时间。 2.PPM调制的原理: 图1所示的串/并变换器相当于一个二进制加法器,设输入信号为a,当a为1时,经过加法器变为10,当a为0时,经过加法器变为00. 图1中的二进制分频器是4分频器,输出高低2位二进制信号。比较器用于比较分频器输出的高位与加法器的高位,以及分频器的低位与加法器的低位,当它们相同时则输出为“1”,否则输出“0”。由于分频器的2位输出对应四种状态(00、01、10、11),每种状态是依次输出的,这也就说明不同的状态对应不同的时间位置,而串/并变换器只输出两种状态(00、10),这与分频器输出的四种状态中的两种状态相同,在比较器中只有在分频器输出(00、10)这两种状态时才输出为“1”,其他情况下则输出“0”,又由于在分频器的一个分频周期内,分频器只输出四个不同2位码,那么在一个分频周期内,比较器只输出一个“1”脉冲。该脉冲的起始位置根据信码的电平不同而变化。这样就可得到随信码的电平不同输出脉冲起始位置不同的输出信息。脉冲形成器是通过一个D触发器对比较器的输出信号进行整形即进行一个时钟周期的延迟并反相后再与比较器的输出信号相与,这样就得到了窄脉冲PPM信号。 三.PPM信号产生的各功能模块的VHDL程序设计 1.加法器(串/并变换)的程序设计 library ieee; use ieee.std_logic_1164.all; entity jiafaqi is port(a:in std_logic; o1,o2:out std_logic); end entity jiafaqi; architecture fh1 of jiafaqi is begin o1=(a or a)and(a nand a); o2=not(a nand a); end architecture fh1; 2.分频器的程序设计 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity fenpin is port(clk:in std_logic; outp0,outp1:out std_logic); end fenpin; architecture bh of fenpin is begin process(clk) variable sum:std_logic_vector(2 downto 0); begin if rising_edge(clk)then sum:=sum+1; outp0=sum(0); outp1=sum(1); if sum=4 then sum:=000; end if; end if; end process; end bh; 3.比较器的程序设计 library ieee; use ieee.std_logic_1164.all; entity bijiaoqi_1 is port(a1,a2,b1,b2:in std_logic; m:out std_logic); end entity bijiaoqi_1; architecture fm1 of bijiaoqi_1 is signal zdf1,zdf2:std_lo

文档评论(0)

新起点 + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档