- 1、本文档共7页,可阅读全部内容。
- 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
- 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载。
- 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
实验九 任意编码计数器的设计
一、实验目的
1、通过任意编码计数器体会语言编程设计电路的便利。
2、了解状态机的设计原理。
二、实验内容
用状态机设计任意编码计数器,实现如下编码7进制计数器:0,2,5,3,4,6,1,并通过数码管显示。
三、实验原理
状态转移图
四、引脚分配情况:
下表为GX-SOC/SOPC-EP2C35-M672创新开发实验平台引脚分配建议表
设计端口 芯片引脚 开发平台模块 out[0] AE13 HEX_1A out[1] AF13 HEX_1B out[2] AD12 HEX_1C out[3] AE12 HEX_1D out[4] AA12 HEX_1E out[5] Y12 HEX_1F out[6] V11 HEX_1G clk P25 50M 主时钟 rst F6 SW1A
五、实验报告要求
实验报告上要体现系统的设计过程,包括所有的代码、仿真结果和硬件验证结果。
library IEEE; ---get 1HZ
use IEEE.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity dividef is
port (
CLK : in std_logic;
CLK_D: out std_logic
);
end entity;
architecture DIVIDE_arch of dividef is
signal COUNT : integer range 0 to
begin
PROCESS(CLK)
BEGIN
if clkevent and clk=1 then
IF COUNTthen
COUNT=0;
ELSE COUNT=count+1;
END IF;
END IF;
END PROCESS;
PROCESS(COUNT)
BEGIN
IF COUNTTHEN
CLK_D=1;
ELSE CLK_D=0;
END IF;
END PROCESS;
end architecture;
library ieee;
use ieee.std_logic_1164.all;
entity xsb1 is
port(
clk:in std_logic;
reset: in std_logic;
d:out std_logic_vector(2 downto 0));
end xsb1;
architecture xsb1_arch of xsb1 is
type state is (s1,s2,s3,s4,s5,s6,s7);
signal next_state,current_state:state;
begin
process(reset,current_state)
begin
case current_state is
when s1=d=000;
if reset=1then next_state=s1;
else next_state=s2;
end if;
when s2=d=010;
if reset=1then next_state=s1;
else next_state=s3;
end if;
when s3=d=101;
if reset=1then next_state=s1;
else next_state=s4;
end if;
when s4=d=011;
if reset=1then next_state=s1;
else next_state=s5;
end if;
when s5=d=100;
if reset=1then next_state=s1;
else next_state=s6;
end if;
when s6=d=110;
if reset=1then next_state=s1;
else next_state=s7;
end if;
when s7=d=001;
if reset=1then next_state=s1;
else next_state=s2;
end
文档评论(0)