LED数码管显示电子钟设计讲述.doc

  1. 1、本文档共23页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
《单片机原理及应用》 课程设计说明书 题目 LED数码管显示电子钟设计 系(部) 专业(班级) 姓名 学号 指导教师 起止日期 课程设计任务书 系(部): 专业: 课题名称 LED数码管显示电子钟设计 设计要求 1、课题内容:设计一种基于 AT89S52 单片机的数码管显示电子时钟,要 求如下: (1)、能正确显示时间,时钟由八位数码管显示,显示格式为:XX小时--XX分--XX秒。 (2)、时间能够由按键调整,误差小于1S。 (3)、 闹钟功能: 时间运行到与闹钟设定时间时, 闹钟响 (持续响3秒) (4)、报时功能:时间运行到正点时间时,闹钟响,几点钟就响几声(每 声持续响2秒,每两声之间时间间隔1秒)。 (5)、通过按键切换,可以显示当前日期,显示格式为:XX 年?XX 月 ?XX日,5秒钟后自动返回时间显示模式。 2、要求: 完成该系统的硬件和软件的设计,在 Proteus 软件上仿真通过,并提 交一篇课程设计说明书。 设计工作量 1、汇编或C51语言程序设计; 2、程序调试; 3、在Proteus上进行仿真成功; 4、提交一份完整的课程设计说明书,包括设计原理、程序设计、程序 分析、仿真分析、调试过程,参考文献、设计总结等。 工作计划 起止日期 工作内容 第一天 课题介绍,答疑,收集材料,C51介绍 第二天 设计方案论证,练习编写C51程序 第三天~第六天 程序设计 第六天~第八天 程序调试、仿真 第九天~第十天 系统测试并编写设计说明书 教研室 意见 年 月 日 系(部)主 管领导意见 年 月 日 目录 目录 4 一、 摘要 5 二、 设计内容 5 2.1、任务要求 5 2.2、设计程序方案 5 2.3 设计电路仿真图 6 三、 心得体会 9 四、 参考文献 10 摘要 单片机全称为单片机微型计算机(Single Chip Microsoftcomputer)。从应用领域来看,单片机主要用来控制,所以又称为微控制器(Microcontroller Unit)或嵌入式控制器。单片机是将计算机的基本部件微型化并集成在一块芯片上的微型计算机。 单片机自20世纪70年代问世以来,以其极高的性能价格比,受到人们的重视和关注,应用很广、、、、、、、、、、、、、 图1 数码管显示模块 数码管是一种把多个LED显示段集成在一起的显示设备。有两种类型,一种是共阳型,一种是共阴型。共阳型就是把多个LED显示段的阳极接在一起,又称为公共端。共阴型就是把多个LED显示段的阴极接在一起,即为公共商。阳极即为二极管的正极,又称为正极,阴极即为二极管的负极,又称为负极。通常的数码管又分为8段,即8个LED显示段,这是为工程应用方便如设计的,分别为A、B、C、D、E、F、G、DP,其中DP 是小数点位段。系统采用动态显示方式,用P0口来控制LED数码管的段控线,而用P2口来控制其位控线。动态显示通常都是采用动态扫描的方法进行显示,即循环点亮每一个数码管,这样虽然在任何时刻都只有一位数码管被点亮,但由于人眼存在视觉残留效应,只要每位数码管间隔时间足够短,就可以给人以同时显示的感觉。 本设计采用共阳极数码管。 晶振电路模块: 在AT89S51芯片内部有一个高增益反相放大器,其输入端为芯片引脚XTAL1,输出端为引脚XTAL2。而在芯片内部,XTAL1和XTAL2之间跨接晶体振荡器和微调电容,从而构成一个稳定的自激振荡器。时钟电路产生的振荡脉冲经过触发器进行二分频之后,才成为单片机的时钟脉冲信号。 图2 晶振电路 按键模块: 时间计数电路由秒个位和秒十位计数器、分个位和分十位计数器、时个位和时十位计数器及星期计数器电路构成,其中秒个位和秒十位计数器、分个位和分十位计数器为60进制计数器,时个位和时十位计数器为24进制计数器。 图3 按键模块 时钟芯片电路: X1 X2 : 32.768晶振管脚

文档评论(0)

整理王 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档