verilog_矩阵键盘..doc

  1. 1、本文档共12页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
verilog_矩阵键盘.

二、矩阵键盘显示电路设计(显示键盘值的平方) 矩阵键盘显示电路的设计 一、 实验目的 1、 了解普通 4×4 键盘扫描的原理。 2、 进一步加深七段码管显示过程的理解。 3、 了解对输入/输出端口的定义方法。 二、实验原理 实现键盘有两种方案:一是采用现有的一些芯片实现键盘扫描;再就是用软 件实现键盘扫描。作为一个嵌入系统设计人员,总是会关心产品成本。目前有很 多芯片可以用来实现键盘扫描,但是键盘扫描的软件实现方法有助于缩减一个系 统的重复开发成本,且只需要很少的 CPU 开销。嵌入式控制器的功能能强,可 能充分利用这一资源,这里就介绍一下软键盘的实现方案。 图 10-1 简单键盘电路 通常在一个键盘中使用了一个瞬时接触开关,并且用如图 10-1 所示的简单电路,微处理器可以容易地检测到闭合。当开关打开时,通过处理器的 I/O 口的一个上拉电阻提供逻辑 1;当开关闭合时,处理器的/IO口的输入将被拉低得到逻辑 0。可遗憾的是,开关并不完善,因为当它们被按下或者被释放时,并不能够产生一个明确的 1或者 0。尽管触点可能看起来稳定而且很快地闭合,但与微处理器快速的运行速度相比,这种动作是比较慢的。当触点闭合时,其弹起就像一个球。弹起效果将产生如图 10-2所示的好几个脉冲。弹起的持续时间通常将维持在 5ms~30ms 之间。如果需要多个键,则可以将每个开关连接到微处理器上它自己的输入端口。然而,当开关的数目增加时,这种方法将很快使用完所有的输入端口。 图 10-2 按键抖动 键盘上阵列这些开关最有效的方法(当需要5个以上的键时)就形成了一个如图 10-3 所示的二维矩阵。当行和列的数目一样多时,也就是方型的矩阵,将产生一个最优化的布列方式(I/O 端被连接的时候),一个瞬时接触开关(按钮)放置在每一行与线一列的交叉点。矩阵所需的键的数目显然根据应用程序而不同。 每一行由一个输出端口的一位驱动,而每一列由一个电阻器上拉且供给输入端口一位。 图 10-3 矩阵键盘 键盘扫描的实现过程如下:对于4×4键盘,通常连接为4行、4列,因此要识别按键,只需要知道是哪一行和哪一列即可,为了完成这一识别过程,我们的思想是,首先固定输出 4 行为高电平,然后输出 4 列为低电平,在读入输出的4行的值,通常高电平会被低电平拉低,如果读入的 4 行均为高电平,那么肯定没有按键按下,否则,如果读入的4 行有一位为低电平,那么对应的该行肯定有一个按键按下,这样便可以获取到按键的行值。同理,获取列值也是如此,先输出 4 列为高电平,然后在输出4 行为低电平,再读入列值,如果其中有哪一位为低电平,那么肯定对应的那一列有按键按下。 获取到行值和列值以后,组合成一个 8 位的数据,根据实现不同的编码在对每个按键进行匹配,找到键值后在 7 段码管显示。 三、实验内容 本实验要求完成的任务是通过编程实现对4X4矩阵键盘按下键的键值的读 取,并在数码管上完成一定功能(如移动等)的显示。按键盘的定义,按下“*” 键则在数码管是显示“E”键值。按下“#”键在数码管上显示“F”键值。其它的键则按键盘上的标识进行显示。 在此实验中数码管与 FPGA的连接电路和管脚连接在以前的实验中都做了详细说明,这里不在赘述。本实验箱上的 4X4 矩阵键盘的电路原理如图 10-4 所示。与 FPGA 的管脚连接如表 10-1 所示。 图 10-4 4X4 矩阵键盘电路原理图 表 10-1 4X4 矩阵键与 FPGA 的管脚连接表 信号名称 对应 FPGA 管脚名 说明 KEY-C0 B8 矩阵键盘的第 1 列选择 KEY-C1 A9 矩阵键盘的第 2 列选择 KEY-C2 B9 矩阵键盘的第 3 列选择 KEY-C3 E5 矩阵键盘的第 4 列选择 KEY-R0 B6 矩阵键盘的第 1 行选择 KEY-R1 A7 矩阵键盘的第 2 行选择 KEY-R2 B7 矩阵键盘的第 3 行选择 KEY-R3 A8 矩阵键盘的第 4 行选择 四、实验步骤 1、 打开 QUARTUSII 软件,新建一个工程。 2、 建完工程之后,再新建一个 VHDL File,打开 VHDL编辑器对话框。 3、 按照实验原理和自己的想法,在 VHDL 编辑窗口编写 VHDL 程序,用户可参照光盘中提供的示例程序。 4、 编写完 VHDL 程序后,保存起来。方法同实验一。 5、 对自己编写的 VHDL 程序进行编译并仿真,对程序的错误进行修改。 6、 编译仿真无误后,依照 4X4 矩阵键、数码管与 FPGA 的管脚

文档评论(0)

kaiss + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档