电子设计自动化-综合--精.ppt

  1. 1、本文档共75页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
Electronics Design Automation 第5章 逻 辑 综 合 Design Compiler  本章目录 1. 综合的概念 4.?综合控制流程 综合的任务 ? 基本的综合流程 综合的层次 ? 定义设计环境 Y 图 ? 设置设计约束 2. 综合工具流程 3. 关键技术 展平 优化 时序逻辑优化 组合逻辑优化 工艺映射 RTL描述的可综合性 1. 综合的概念 综合( Synthesis ) 可以定义为是将设计的较高抽象层次描述转换成较低抽象层次描述的过程。 综合的任务: 由描述数字系统行为的HDL,根据系统对硬件的功能及性能要求,在一个包含众多结构、功能性能均已知的逻辑元件的逻辑单元库的支持下,找出一个合适的硬件结构来实现(一般是指由EDA工具自动生成) 1. 综合的概念 综合的层次 高层次综合(high level synthesis) 从算法级行为描述—RTL结构描述 逻辑综合(design synthesis) 从RTL(Data Flow)行为级描述—逻辑网表 版图综合(layout synthesis) 一般指预布局(floorplanning) Y 图 例2. 不同RTL描述得到不同电路结构: 4 位加法器 算法级 2 Architecture behv2 of adder is Signal S: stand_logic_vector(N downto 0); begin S= (‘0’a)+ b+ cin; Sum = S(N-1 downto 0); Cout = S(N); end behv2; N是一个类属参数,具有通用性 RTL (1)—行波进位加法器(Ripple carry adder) architecture struc of adder is signal C: std_logic_vector(4 downto 0); begin process(a,b,cin,c) begin C(0)= Cin; for i in 0 to 3 loop Sum(i)= a(i)xor b(i) xor C(i); C(i+1)= (a(i) and b(i) ) or (C(i) and (a(i) or b(i))); end loop; Cout=C(4); end process; end struc ; RTL( 2)—先行进位加法器(carry look forward adder) P(i)= (A(i) B(i) ) - 进位传输信号 G(i)= A(i) ? B(i) -进位产生信号 C1 =G1+P1 ? C0 C2 = G2+P2 ? G1+P2 ? P1 ? C0 =G2 +P2 ? (C1) C3 =G3 + P3 ? G2 + P3 ? P2 ? G1 +P3 ? P2 ? P1 ?( C0) = G3 +P3 ? (C2) C4 =G4 + P4 ? (C3) S1=P0 C0 S2=P1 C1 S3=P2 C2 S4 =P3 C3 王永军P131,数字逻辑与数字系统 2. 综合工具流程 根据VHDL源码产生一个与实现技术无关的通用原理图(generic schematic) 根据设计要求执行优化(optimization)算法,化简状态和布尔方程(逻辑综合) 按半导体工艺要求,采用相应的工艺库,把优化的布尔描述映射(mapping)到实际的逻辑电路网表

您可能关注的文档

文档评论(0)

tianjiao123 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档