基于VHDL语言信号发生器的设计.EDA课程设计.doc

基于VHDL语言信号发生器的设计.EDA课程设计.doc

  1. 1、本文档共18页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
基于VHDL语言信号发生器的设计.EDA课程设计

基于VHDL语言信号发生器的设计 1、设计目的 1) 掌握使用EDA工具设计的设计思路和设计方法体会使用EDA综合过程中电路设计方法和设计思路的不同。2) 熟悉在Quartus II环境中,用文本输入方式与原理图输入方式完成电路的设计,同时掌握使用这两种方式相结合的EDA设计思路。 3) 通过这一部分的学习,对VHDL语言的设计方法进行进一步的学习,对其相关语言设计规范进行更深层次的掌握,能够更加熟练的做一些编程设计 2、设计的主要内容和要求 通过使用VHDL语言Quartus II软件,设计多功能信号发生器的每个模块,将正弦波模块,方波模块,三角波模块,阶梯波模块选1根据分析与整体构思可知,要完成设计任务必须 1、设计好用于波形切换的路选择器; 2用于; 基本设计方案:在现有信号发生器的基础上,加上其它信号模块,用数模转换器(D/A)将选中的信号源由数字信号转换为模拟信号,再用示波器显示出来如图3.1所示。 图3.1 信号发生器结构框图 通过查找资料把各类信号模块的程序输入到Quartus Ⅱ中进行运行仿真,每一步都要慎重小心,错误难免的,还需要通过课本和资料一一更正。 最后,在前面模块做好的基础上再考虑如何输出波形的问题,通过对种波形采样就可以得到。 根据题目分析与整体构思可知,要完成设计任务必须设计出以下模块: 4.1正弦波发生器的实现 该模块产生以64个时钟为一个周期的正弦波。 其VHDL语言源程序代码如下所示: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL;--打开库文件 USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY sinqi IS PORT ( clk : IN STD_LOGIC;--声明clk是标准逻辑位类型的输入端口 clr : IN STD_LOGIC; --声明clr是标准逻辑位类型的输入端口 d : OUT integer range 0 to 255); --声明d是标准逻辑向量类型的 --输出端口 END sinqi; ARCHITECTURE sinb OF sinqi IS BEGIN PROCESS(clr,clk) variable temp:integer range 0 to 63; BEGIN IF clr=0 THEN d=0; --clr为低电平d为0 ELSIF clkEVENT AND clk= 1 THEN --clk为上升沿 IF temp=63 THEN temp:=0; ELSE temp:=temp+1; END IF; CASE temp IS when 00=d=255;when 01=d=254;when 02=d=252; when 03=d=249;when 04=d=245;when 05=d=239; when 06=d=233;when 07=d=225;when 08=d=217; when 09=d=207;when 10=d=197;when 11=d=186; when 12=d=174;when 13=d=162;when 14=d=150; when 15=d=137;when 16=d=124;when 17=d=112; when 18=d=99;when 19=d=87;when 20=d=75; when 21=d=64;when 22=d=53;when 23=d=43; when 24=d=34;when 25=d=26;when 26=d=19; when 27=d=13;when 28=d=8;when 29=d=4; when 30=d=1;when 31=d=0;when 32=d=0; when 33=d=1;when 34=d=4;when 35=d=8; when 36=d=13;when 37=d=19;when 38=d=26; when 39=d=34;when 40=d=43;when 41=d=53; when 42=d=64;when 43=d=75;when 44=d=87;

文档评论(0)

kakaxi + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档