网站大量收购独家精品文档,联系QQ:2885784924

数控分频器的设计.doc

  1. 1、本文档共11页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
数控分频器的设计

长 沙 学 院 课程设计说明书 题目 数控分频器的设计 系(部) 电子与通信工程系 专业(班级) 电气一班 姓名 学号 指导教师 瞿曌 谢明华 起止日期 2012/12/17--2012/12/29 电子设计自动化设计任务书 系(部):电子与通信工程系 专业:电气工程及其自动化 指导教师:瞿 曌 课题名称 数控分频器的设计 设计内容及要求 是设计一个数控分频器,数控分频的预置数自定,但可由输入按键任意改变,并同时在数码管上显示其十进制的数值。数控分频器的输出接扬声器,通过按键改变预置数,可听到不同音调的声音。数控分频器的功能就是当在输入端给定不同输入数据时,将对输入的时钟信号有不同的分频比,数控分频器就是用计数值可并行预置的加法计数器设计完成的,方法是将计数溢出位与预置数加载输入信号相比较即可。系统提供50MHZ频率的时钟源。完成该系统的硬件和软件的设计,并制作出实物装置,调试好后并能实际运用(指导教师提供制作所需的器件),最后就课程设计本身提交一篇课程设计说明书。 设计工作量 1、VHDL语言程序设计; 2、波形仿真; 3、在实验装置上进行硬件测试,并进行演示; 4、提交一份完整的课程设计说明书,包括设计原理、程序设计、程序分析、仿真分析、硬件测试、调试过程,参考文献、设计总结等。 进度安排 起止日期(或时间量) 设计内容(或预期目标) 备注 第1天 课题介绍,答疑,收集材料 第2天 设计方案论证 第3天 进一步讨论方案, 对设计方案进行必要的修正,方案确定后开始进行VHDL语言程序设计 第4天 设计VHDL语言程序 第5~9天 在实验装置上进行硬件测试,对VHDL语言程序进行必要的修正,并进行演示 第10天 编写设计说明书 教研室 意见 年 月 日 系(部)主管领导意见 年 月 日 长沙学院课程设计鉴定表 姓名 学号 专业 电气工程及其自动化 班级 一班 设计题目 数控分频器的设计 指导教师 瞿 曌 指导教师意见: 评定等级: 教师签名: 日期: 答辩小组意见: 评定等级:     答辩小组长签名:     日期:     教研室意见: 教研室主任签名: 日期:   系(部)意见: 系主任签名:        日期:      说明 课程设计成绩分“优秀”、“良好”、“及格”、“不及格”四类; 一 实验目的 学习数控分频器的设计、分析和测试方法。 学习将二进制转换成十进制并在数码管上显示。 学习实验波形的绘制和仿真波形的分析。 二 实验原理 是设计一个数控分频器,数控分频的预置数自定,但可由输入按键任意改变,并同时在数码管上显示其十进制的数值。数控分频器的输出接扬声器,通过按键改变预置数,可听到不同音调的声音。数控分频器的功能就是当在输入端给定不同输入数据时,将对输入的时钟信号有不同的分频比,数控分频器就是用计数值可并行预置的加法计数器设计完成的,方法是将计数溢出位与预置数加载输入信号相比较即可。 三 实验程序 分频程序 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity fenpin is port(clk0: in std_logic; y: out std_logic); end fenpin; architecture one of fenpin is signal full:std_logic; begin p_reg:process(clk0) variable cnt:integer range 1 to 5; begin if clk0event and clk0=1 then if cnt=5 then cnt:=1; full=1; else cnt:=cnt+1; full=0; end if; end if; end process p_reg; p_div:process(full) va

文档评论(0)

juhui05 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档