- 1、本文档共20页,可阅读全部内容。
- 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
- 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载。
- 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
基于eda的fpga的实验开发板的设计与程序调试课程设计报告.doc
专业课程设计报告
课设名称:基于EDA的FPGA实验开发板
的设计
目录:
1、设计任务及内容
2、系统硬件设计(要求对系统每部分电路进行介绍)
3、系统软件设计(画出程序流程图)
4、系统调试
5、课程设计总结
6、参考文献
7、附录(系统硬件原理图以及程序代码)
一:设计任务及内容
设计任务: 基于EDA的FPGA的实验开发板的设计与程序调试。
设计内容: 开发板底板和核心板原理图以及PCB的设计,程序的编写与下载调试。
二:系统硬件设计
核心板部分
电源部分:
通过5V直流供电,经过电容滤波
蜂鸣器部分:
通过三极管驱动蜂鸣器,可以播放一些简单的音乐
PS2键盘:
可以用作一般通讯目的或者嵌入式系统和片上系统调试LCD模块接口,显示点阵为128x64;一种为T6963控制器的LCD模块VGA接口就是显卡上输出模拟信号的接口library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
ENTITY seg70 IS
PORT (
clk : IN std_logic;
rst : IN std_logic;
dataout : OUT std_logic_vector(7 DOWNTO 0); --各段数据输出
en : OUT std_logic_vector(7 DOWNTO 0)); --COM使能输出
END seg70;
ARCHITECTURE arch OF seg70 IS
signal cnt_scan : std_logic_vector(15 downto 0 );
signal data4 : std_logic_vector(3 downto 0);
signal dataout_xhdl1 : std_logic_vector(7 downto 0);
signal en_xhdl : std_logic_vector(7 downto 0);
begin
dataout=dataout_xhdl1;
en=en_xhdl;
process(clk,rst)
begin
if(rst=0)then
cnt_scan=0000000000000000;
elsif(clkevent and clk=1)then
cnt_scan=cnt_scan+1;
end if;
end process;
process(cnt_scan(15 downto 13))
begin
case cnt_scan(15 downto 13) is
when000= en_xhdl
when001= en_xhdl
when010= en_xhdl
when011= en_xhdl
when100= en_xhdl
when101= en_xhdl
when110= en_xhdl
when111= en_xhdl
when others= en_xhdl
end case;
end process;
process(en_xhdl)
begin
case en_xhdl is
when data4=0000;
when data4=0001;
when data4=0010;
when data4=0011;
when data4=0100;
when data4=0101;
when data4=0110;
when data4=0111;
when others = data4=
您可能关注的文档
- 石油集团有限责任公司热电厂锅炉烟气脱硫技术改造工程可行性研究报告(优秀可行性研究).doc
- 石油有限责任公司加油加气站建设可行性研究报告.doc
- 食品安全重大科技专项行动项目可行性研究报告.doc
- 拾缘饮吧连锁店可行性研究报告.doc
- 滑块厚度综合检测平台系统总体设计论文.doc
- 食品安全重大科技专项行动项目投资可行性研究报告.doc
- 食品物流冷藏基地建设项目投资可行性研究报告.doc
- 食品工业城至污水处理厂排污管涵工程可行性研究报告.doc
- 食品项目可行性研究报告.doc
- 食品有限公司电力系统项目可行性研究报告.doc
- 2024高考物理一轮复习规范演练7共点力的平衡含解析新人教版.doc
- 高中语文第5课苏轼词两首学案3新人教版必修4.doc
- 2024_2025学年高中英语课时分层作业9Unit3LifeinthefutureSectionⅢⅣ含解析新人教版必修5.doc
- 2024_2025学年新教材高中英语模块素养检测含解析译林版必修第一册.doc
- 2024_2025学年新教材高中英语单元综合检测5含解析外研版选择性必修第一册.doc
- 2024高考政治一轮复习第1单元生活与消费第三课多彩的消费练习含解析新人教版必修1.doc
- 2024_2025学年新教材高中英语WELCOMEUNITSectionⅡReadingandThi.doc
- 2024_2025学年高中历史专题九当今世界政治格局的多极化趋势测评含解析人民版必修1.docx
- 2024高考生物一轮复习第9单元生物与环境第29讲生态系统的结构和功能教案.docx
- 2024_2025学年新教材高中英语UNIT5LANGUAGESAROUNDTHEWORLDSect.doc
文档评论(0)