Max+plusⅡ操作简介(主要)解读.doc

  1. 1、本文档共17页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
MaxplusⅡ操作简介(主要)解读

Max+plusⅡ系统的操作简介 Max+plusⅡ开发工具是美国Altera公司自行设计的一种软件工具,其全称为Multiple Array Matrix and Programmable Logic User System。它具有原理图输入和文本输入(采用硬件描述语言)两种输入手段,利用该工具所配备的编辑、编译、仿真、综合、芯片编程等功能,将设计电路图或电路描述程序变成基本的逻辑单元写入到可编程的芯片中(如FPGA芯片),作成ASIC芯片。它是EDA设计中不可缺少的一种工具。 通过一个简单的二输入与门电路设计范例介绍:利用Max+plusⅡ系统 (1)如何编写VHDL程序(使用Text Editor); (2)如何编译VHDL程序(使用Compiler); (3)如何仿真验证VHDL程序(使用Waveform Editor,Simulator); (1)建立和编写一个VHDL语言的工程文件 首先启动Max+plusⅡ系统,启动后系统进入主菜单画面,在主菜单上有5个选项,分别是:Max+plusⅡ、File、Assign、Options和Help。 Max+plusⅡ系统主窗口 (a)打开文本编辑器;用鼠标点击File选项,点击子菜单中的New选项,接着屏幕会出现New的对话框。在对话框内有4种编辑方式:图形编辑、符号编辑、文本编辑和波形编辑。VHDL文件属于文本,那么应该选择文本编辑方式,点击OK按钮,屏幕上将出现一个无名的编辑窗口,则系统进入文本编辑状态。(或用鼠标点击Max+plusⅡ选项,点击子菜单中Text Editor选项.。) 打开文本编辑器 (b)在编辑窗口中进行编辑输入,输入相应的描述语句。 文本编辑窗口中编辑输入 [例1] 实现2输入与门的VHDL描述 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY and2 IS PORT(a, b : IN STD_LOGIC; y: OUT STD_LOGIC); END and2; ARCHITECTURE one OF and2 IS BEGIN y= a and b; END one; (c)存盘。(a 我们编辑的VHDL文件扩展名为vhd;b 保存的文件名必须和所定义的实体名相同。c 文件存盘的目录不应是根目录或桌面,建议存放在Maxplus2目录。) (2) VHDL程序的编译 编译过程大致可以分以下几个步骤: (a)若文件没有打开,需首先打开要编译的VHDL文件; (b)将目前的文件设置成工程文件;点击File选项,光标移到子菜单的Project项停留几秒钟,屏幕上会出现下一级菜单,点击Set Project to Current File。 将目前的文件设置成工程文件 (c)打开编译器;点击主菜单MAX+plusⅡ/Compiler选项,屏幕上就出现编译对话框。 编译器窗口 (d)编译开始前还应确定源程序的版本。 在编译对话框打开情况下,点击主菜单中的Interfaces/VHDL Netlist Reader Settings…选项,就可在屏幕上显示VHDL Netlist Reader Settings(网表阅读器设置)对话框,有VHDL 1987或VHDL 1993版本可供我们选择。点击VHDL Version框中的VHDL 1993就可选择相应的版本。 网表阅读器设置 源程序的版本设定 (e)开始编译;完成了上述编译前的准备及必要的设置工作,点击编译对话框中的Start按钮,编译即开始。 在编译过程中如果编译器发现源程序语法有错就会自动弹出错误信息窗进行提示。这时需回到文本编辑器,修改VHDL程序中的错误,然后再继续编译直到全部正确为止。 如果没有错误,编译结束后会出现MAX+plusⅡ-Compiler信息窗: 编译信息窗口 (3) VHDL程序的功能仿真 仿真是为了验证我们所编写的VHDL程序的功能是否正确。 1首先生成仿真波形文件 (a)打开波形编辑器;点击主菜单的MAX+plusⅡ/Waveform Editor选项,就可在屏幕上显示波形编辑器窗口。在未输入信号名以前,整个窗口是空白的。 打开波形编辑器 (b)确定仿真持续时间(File/End Time)。 (c)选进输入输出端口名;点击主菜单Node/Enter Node fron SNF即可打开脚位接点对话框。在对话框左下角Type下面的选项选择Inputs和outputs,点击对话框右上角的List选项,则在Available Nodes Groups列表栏中列出了所有输入输出端口名d1、d2和op。点击对话框

文档评论(0)

shuwkb + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档