- 1、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。。
- 2、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载。
- 3、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
- 4、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
- 5、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们。
- 6、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
- 7、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
毕业设计 基于eda的fpga的实验开发板的设计与程序调试报告
专业课程设计报告
课设名称:基于EDA的FPGA实验开发板
的设计
目录:
1、设计任务及内容
2、系统硬件设计(要求对系统每部分电路进行介绍)
3、系统软件设计(画出程序流程图)
4、系统调试
5、课程设计总结
6、参考文献
7、附录(系统硬件原理图以及程序代码)
一:设计任务及内容
设计任务: 基于EDA的FPGA的实验开发板的设计与程序调试。
设计内容: 开发板底板和核心板原理图以及PCB的设计,程序的编写与下载调试。
二:系统硬件设计
核心板部分
电源部分:
通过5V直流供电,经过电容滤波
蜂鸣器部分:
通过三极管驱动蜂鸣器,可以播放一些简单的音乐
PS2键盘:
可以用作一般通讯目的或者嵌入式系统和片上系统调试
4、1602-12964LCD液晶显示屏:
LCD模块接口,显示点阵为128x64;一种为T6963控制器的LCD模块
串口:
数据通信,提高数据传输速度
VGA部分:
VGA接口就是显卡上输出模拟信号的接口
步进电机部分:
通过编写程序可以控制步进电机的转速
LED灯部分:
可以通过vhdl语言编程来控制发光二极管按顺序依次点亮
9、按键部分:
人机交换部分,更加智能与方便
数码管部分:
双排底座:
与核心板的链接部分
核心板部分
晶振部分:
为芯片提供时钟信号
排针部分
与外部器件的连接部分
电源部分:
为芯片供电
JTAG部分:
与计算机进行数据通信,程序的下载
核心芯片:
FPGA核心部分,控制各模块的工作
三:系统软件设计
四:系统调试
软件仿真:
利用quartus2软件将编写好的程序进行时序仿真,得到波形图如下
五 课程设计总结
通过这次课程设计,让我们学会了如何应用AD6这款软件进行原理图与PCB的绘制,期间遇到了很多困难,不过通过查询资料和咨询老师,我们最后还是能够基本上完成了任务。通过这次课设,学会了很多东西,比如如何自己利用AD6绘制一个元器件,怎么利用AD6进行PCB正方面的设计,怎么布铜等等。当然只是通过这次课设我们也学会了团队合作的重要性,组员之间分工明确,使得任务完成的更加轻松。总之,这次可是让我们受益匪浅,感谢学校给我们这次机会,更加感谢刘老师的悉心指导!
六 参考文献
1、sopc-eda实验指导书
2、阎石.数字电子技术基础.北京:高等教育出版社,2006
3、AD6使用指导
4、FPGA开发板使用说明书
附录:
底板原理图与PCB
核心板原理图与PCB
程序代码:
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
ENTITY seg70 IS
PORT (
clk : IN std_logic;
rst : IN std_logic;
dataout : OUT std_logic_vector(7 DOWNTO 0); --各段数据输出
en : OUT std_logic_vector(7 DOWNTO 0)); --COM使能输出
END seg70;
ARCHITECTURE arch OF seg70 IS
signal cnt_scan : std_logic_vector(15 downto 0 );
signal data4 : std_logic_vector(3 downto 0);
signal dataout_xhdl1 : std_logic_vector(7 downto 0);
signal en_xhdl : std_logic_vector(7 downto 0);
begin
dataout=dataout_xhdl1;
en=en_xhdl;
process(clk,rst)
begin
if(rst=0)then
cnt_scan=0000000000000000;
您可能关注的文档
最近下载
- 海尔精密空调样册20230310.pdf
- 长沙理工大学大学物理练习册答案(全解)平台原因,预览不清楚,下载后保证可以清楚的阅读.pdf VIP
- 六味和正早餐糊手册内容定稿.pdf VIP
- 主变压器安装监理实施细则.doc VIP
- 海尔水冷磁悬浮样册20241109.pdf
- 安徽—夏凯月—课件—直线的倾斜角与斜率.pptx VIP
- 中级茶艺师操作技能考核评分记录表(20200810075301).pdf VIP
- 中级茶艺师操作技能考核评分学习记录标准表格格.docx VIP
- 中级茶艺师操作技能考核评分记录表.docx VIP
- 中考生物总复习 七上 第1单元 第5章 生物的分类和鉴别教材考点梳理课件 冀教版.pptx VIP
文档评论(0)