试卷B蓝正.doc

  1. 1、本文档共7页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
试卷B蓝正

成都信息工程学院考试试卷 2012 ——2013 学年第1 学期 课程名称:数字电路与逻辑设计 使用班级:2011级计算机学院 试卷形式:闭卷 试题 一 二 三 四 五 六 七 八 九 十 总分 得分 一、单选题(将正确答案字母填入括号内,每题2分,共10分) 1、以下编码中,不属于可靠性代码的是 ( ) A、相邻码 B、格雷码 C、奇偶校验码 D、8421BCD码 2、要使输入为A、B的两输入或非门输出高电平,则要求输入 A、A=0,B=0 B、A=0,B=1 C、A=1,B=0 D、A=1,B=1 ( ) 3、异或门一个输入端接低电平,另一个接输入信号,则输出信号与输入信号的关系是 A、相与 B、相或 C、同相 D、反相 ( ) 4、边沿D触发器,当 时,如输入CP的频率为150K Hz,则Q端输出信号的频率为 A、300k B、150k C、100k D、75k ( ) 5、日常使用的优盘属于哪种存储器 A、SRAM B、RAM C、ROM D、SAM ( ) 二、填空题:(每题2分,共计20分) 1、 2、函数式可以写成 ,其最大项表达式为 。 3、三态门输出的三种状态分别为 、 和高阻态。 4、已知逻辑函数 ,试写出该逻辑函数的反函数 。 5、4线-7段的显示译码器若输出高电平有效,可驱动 数码管;若输出低电平有效,可驱动 数码管。 6、用移位寄存器构成奇数型的扭环形计数器,需要外接 门产生反馈信号,其计数的模值为 。 7、将1024×1的RAM扩展成4096×4的RAM,需要 片1024×1的RAM,需要 条地址线。 8、用555定时器组成的单稳态触发器输出的脉冲宽度受 控制,暂态时间 。 9、FPGA掉电后数据 ,CPLD掉电后数据 。 10、通常一个完整的数字系统应包括:输入电路、输出电路、 、 和时钟电路。 三、逻辑函数化简:(共12分) 1、采用代数法化简如下函数(本题6分) 2、用卡诺图化简如下函数(本题6分) 四、组合逻辑电路分析与设计(共18分) 1、采用降维图法,利用8选1数据选择器74LS151实现下面的逻辑函数,写出设计的全过程,并完成逻辑电路图(12 分)。 2、分析下图,并完成下面的问题(6分)。 本图完成什么逻辑功能? 当A3A0为1011,B3B0为0111时,Co、S3S0的输出值为多少? 试根据上图,画出原码/反码发生器的逻辑图。 五、触发器(每小题分,加上如图所示的信号,试画出触发器Q端的输出波形。(6分) 2、试完成下面流水灯电路的连接,要求流水灯方向从右到左移动变化。(6分) 六、时序逻辑电路分析与设计(22分) 1.分析下图所示电路。(10分) 要求: 1)、写出驱动方程、状态方程、输出方程; 2)、列出状态转换真值表,画出状态转换图; 3)、说明电路的逻辑功能及启动特性。 2、用74LS160设计一个反馈清零法实现7进制计数器,要求画出系统电路图并写出反馈清零函数及电路的输出状态。(6分) 3、用74LS194的左移功能设计一个模5扭环型计数器(6分) 七、已知全加器的逻辑图如下,请完善该逻辑图的VHDL程序。(6分) library ieee; use ieee.std_logic_1164.all; entity adder is port (ai, bi, ci : in std_logic; si, co : out std_logic); end adder; architecture adder of adder is begin si<= ; co<= ; end adder; 成都信息工程学院考试草稿纸 2012——2013学年第1学期 课程名称:数字电路与逻辑设计

文档评论(0)

2017ll + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档