- 1、本文档共10页,可阅读全部内容。
- 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
- 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载。
- 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
基于quartus的步进电机控制器仿真设计
成 绩 评 定 表
学生姓名 班级学号 专 业 课程设计题目 评
语
组长签字:
成绩
日期
201 年月日
课程设计任务书
学 院 专 业 学生姓名 班级学号 课程设计题目 步进电机控制器 实践教学要求与任务:
训练学生综合运用学过的基础知识,独立进行查找资料、选择方案、代码设计、撰写报告,进一步加深对EDA技术的理解。
能正确划分设计层次;收集资料;独立思考,刻苦专研,严禁抄袭;按时完成设计任务,认真、正确地书写课程设计报告。
步进电机的控制主要通过5个按键来实现,分别表示正转、反转、加速、减速和停止。
工作计划与进度安排:
课程设计时间为10天(2周)
1、调研、查资料1天。
2、总体方案设计2天。
3、代码设计与调试5天。
4、撰写报告1天。
5、验收1天。 指导教师:
201 年月日 专业负责人:
201年 学院教学副院长:
201年 月 日 目 录
1.设计目的 1
2.设计要求和任务 1
1.2.1设计要求 1
1.2.2设计任务 1
3.总体设计思路及原理描述 1
3.1系统硬件原理图 1
3.2步进电机驱动原理 2
4.程序设计 3
5. 编译/仿真 4
5.1编译过程 4
5.1.1 建立顶层设计文件夹TRAFFIC_CTROL 4
5.1.2 TRAFFIC_CTROL.VHD模块设计 4
5.1.3 TRAFFIC_CTROL.SCf波形文件设计 4
5.2仿真结果 5
6.心得体会 5
7.参考文献 7
1.设计目的
训练学生综合运用学过的基础知识,独立进行查找资料、选择方案、代码设计、撰写报告,进一步加深对EDA技术的理解。
2.设计要求和任务
1.2.1设计要求
(1)根据设计题目要求编写相应程序代码
(2)对编写的VHDL程序代码进行编译和仿真
(3)总结设计内容,完成课程设计
1.2.2设计任务
设计一个步进电机控制器,步进电机的控制主要通过5个按键来实现,分别表示正转、反转、加速、减速和停止
3.总体设计思路及原理描述
3.1系统硬件原理图
系统原理图如图3-1所示:
图3.1系统原理图
3.2步进电机驱动原理
三相四线制步进电机的驱动原理为当不同绕组一次轮流接通驱动电路时,会产生磁场从而带动步进电机旋转一个步次,当改变绕组接通次序则会改变其转向,当改变绕组接通频率则可改变其运行速度。在这里,我采用单四拍控制。通过一个按键来控制电机的正反转,两个按键来控制电机的调速。
三相四线制步进电机的单四拍正反转数字控制模型如表3.1、表3.2所示:
表3.1?单四拍正转数字控制模型
表3.2?单四拍反转数字控制模型
4.程序设计
该步进电机的源程序TRAFFIC_CTROL.VHD
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity step is
port(clk,rst:in std_logic; --状态机工作时钟和复位信号
a:in std_logic; --转向开关
KEY:in std_logic_vector(1 DOWNTO 0); --调速开关
y:out std_logic_vector(3 downto 0));
end step;
architecture bhv of step is
type states is(s0,s1,s2,s3); --数据类型定义,定义状态符号
signal c_st,next_st:states; --将现态和次态定义为新的数据类型states
signal clkns:std_logic;
signal q:integer range 0 to 65535;
begin
reg:process(clk) --主控时序进程
variable n:integer range 0 to 65535;
begin
if rst=1 then c_st=s0; --检测异步复位信号,复位信号后回到初态s0
elsif clkevent and clk=1 then
if(qn) then q=q+1; else q=0;c_st=next_st;
您可能关注的文档
- led显示屏工程合同模版.doc
- 赛题11_塔塔咨询服务_人脸识别系统.doc
- 5.15 控制变量法(教学反思).doc
- 八年级物理下册分组实验教案.docx
- 论民营企业管理制度的创新.doc
- 17版煤矿调度安全生产标准化评分表.doc
- 花式喷泉控制系统的安装与调试.doc
- 电流与电压电阻的关系 教学设计.doc
- 以快速模具技术解开车身开发中样车制造的症结.ppt
- matlab上机内容及作业.doc
- 六年级数学下册教学课件《解比例》.pptx
- 8.21.5 鸟类的生殖与发育(课件)八年级生物下册课件(苏教版).pptx
- 钠离子电池项目智能制造方案(范文参考).docx
- 2023-2024学年吉林省吉林市舒兰市七年级(上)期末语文试卷.docx
- 2024年吉林省吉林市丰满区亚桥实验学校中考数学三模试卷.docx
- 2023-2024学年吉林省辽源市东辽县七年级(上)期末英语试卷.docx
- 2023-2024学年吉林四平九年级数学第一学期期末水平检测试卷.docx
- 2023-2024学年吉林市蛟河市三校联考九年级(上)期末英语试卷.docx
- 2023-2024学年吉林松原九年级英语上册考场实战试卷.docx
- 电解液新材料项目智能制造方案.docx
最近下载
- 英文学术写作实战(北大)大学MOOC慕课 客观题答案.docx
- 【课件】Unit5ListeningandSpeaking课件高中英语人教版必修第一册.pptx VIP
- 试论我国网络虚拟财产的民法保护-法学学士毕业论文.doc VIP
- 辽宁省协作校2023-2024学年高一下学期5月期中考试数学试卷(含答案).docx VIP
- 隧道竖井施工方案.docx
- 2023年兰州交通大学公共课《马克思主义基本原理概论》期末试卷A(有答案).docx VIP
- 医院装备主管面试题及参考答案结构化面试题.docx VIP
- 地铁车站竖井施工及技术措施.docx VIP
- 20道医院医疗设备技术员岗位高频面试问题附考察点及参考回答.pdf VIP
- 《现代物流学》模拟试题(含答案).pdf
文档评论(0)