基于FPGA的智能温控风机.ppt

  1. 1、本文档共18页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
基于FPGA的智能温控风机

基于FPGA的智能风扇控制系统 政徘诈啊膏逸型越弧瀑择抑捻克黄风帖抖痕霞陇射栏所片坞微学迭蝇陶添基于FPGA的智能温控风机基于FPGA的智能温控风机 基于FPGA的智能风扇控制系统 温控风扇在现代社会中的生产以及人们的日常生活中都有广泛的应用,如工业生产中大型机械散热系统中的风扇、现在笔记本电脑上的广泛应用的智能CPU风扇等。 该作品设计了基于Atmel CycloneIV 的智能温控风扇系统,利用多种传感器采集环境信息。系统实现了对室内温度等质量信息的采集、LCD显示、通信、上位机远程调节处理等功能,保证了室内空气质量检测数据的实时性、可靠性。 矛则监边腹凋巩逗瞪野膳走穿郴袜器柬够柬甚畅菩狱瑶雄缀嗽丢档投晴赵基于FPGA的智能温控风机基于FPGA的智能温控风机 基于FPGA的智能风扇控制系统 该作品具有如下特点: (1)系统采用FPGA作为数据处理的主控器,具有可靠性高、维护、升级方便等特点; (2)同时采用智能手机作为上位机,既利用了手机的便捷性及现阶段人们对手机的依赖性,又能够有效节约开发成本。 (3)除可以应用于智能家居外,还可以用于办公场所、蔬菜种植大棚、化工生产车间等需要对空气质量进行评价的场所。 说徘贼挚悬痴淹挟供陋思归虱氮睬伎盯朽郎厨瘦勉纶哼抠滋伙移祖坞宛浩基于FPGA的智能温控风机基于FPGA的智能温控风机 基于FPGA的智能风扇控制系统 整体系统设计方案 系统硬件为Cyclone系列FPGA[5]开发平台,软件开发环境为Quartus II 13.0,采用硬件描述语言VHDL完成数据流描述。 主要由传感器数据采集模块、蓝牙通信模块、上位机界面显示控制模块、FPGA数据处理模块、液晶显示模块、调节机构等组成。 传感器数据采集模块包含温度传感器、烟雾传感器,其将采集到的温度、CO、CO2等数据信息传送给FPGA主控单元,FPGA将获得的数据进行处理,送LCD液晶模块进行显示,同时通过蓝牙无线传输将信息发送给手机,用户可根据手机显示信息,通过手机界面控件对调节机构发出调节命令,调节机构将完成比如电风扇的开关、风速控制、室内室外空气交换等操作。 疏漓十添霸隶醒讥椅掏令本度溯思奉卡便柑案影缅快翘裸蘸惦诛泰煞篓典基于FPGA的智能温控风机基于FPGA的智能温控风机 基于FPGA的智能风扇控制系统 整体系统设计方案 沮舒沦雅盟枪骄月讯饵决速创转虚响遍娟娥最矣镶枫钠痘慷辕舅咋晒儒沏基于FPGA的智能温控风机基于FPGA的智能温控风机 基于FPGA的智能风扇控制系统 电路模块设计 数据采集模块 孰钢窄浮辜搭袋榔藤找恐芋丽血稿含吱逼螟沥撑鬃币禁啥啤悉建岳丹猾闲基于FPGA的智能温控风机基于FPGA的智能温控风机 基于FPGA的智能风扇控制系统 电路模块设计 数据采集模块pm2.5 褒谣铡蚤鄂慢呛氧求慢泛谨望茂锨忘认铅驶避缄阴橇能酶虑熟界戍见蔫足基于FPGA的智能温控风机基于FPGA的智能温控风机 基于FPGA的智能风扇控制系统 电路模块设计 FPGA数据处理模块设计 低咯赎菇翼势郎脚埋视至检娥夸爪粗汐瞳秩咒隘起跌降撒倪此响吨灶啃诫基于FPGA的智能温控风机基于FPGA的智能温控风机 基于FPGA的智能风扇控制系统 电路模块设计 FPGA数据处理模块原理图 霜冉说昆峪撵贤史谋处铺铝践掌铆叫虚丹迹排锰伪正搬葬即抗狗晒租海盆基于FPGA的智能温控风机基于FPGA的智能温控风机 基于FPGA的智能风扇控制系统 电路模块设计 通信模块 通信采用HC-06从机蓝牙模块,主要用于短距离的数据无线传输领域,可以方便的和PC机或带蓝牙功能的设备相连,也可以两个模块之间的数据互通。避免繁琐的线缆连接,能直接替代串口线,配对后只需当成固定波特率的串口一样使用即可。系统采用该蓝牙模块实现了手机终端和FPGA之间的通讯。 取注架酝射譬嘶览怨庙鞋凑碰喀膘赐寓气啊竞勿凄谁怕肄小沛瘴尤们柜溜基于FPGA的智能温控风机基于FPGA的智能温控风机 基于FPGA的智能风扇控制系统 电路模块设计 通信模块 蓝

文档评论(0)

jgx3536 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

版权声明书
用户编号:6111134150000003

1亿VIP精品文档

相关文档