EDA_闹钟系统设计.doc

  1. 1、本文档共18页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
EDA_闹钟系统设计

毕 业 设 计 2010年 3 月12日 课程设计任务书 课程 EDA技术课程设计 题目 闹钟系统的设计 专业 姓名 学号主要内容、基本要求、主要参考资料等 主要内容: 设计并制作一个带闹钟功能的24小时计时器。它包括以下几个组成部分: 1、显示屏,由4 个七段数码管组成,用于显示当前时间(时:分)或设置的闹钟时间; 2、数字键,实现‘0’—‘9’的输入,用于输入新的时间或新的闹钟时间; 3、TIME(时间)键,用于确定新的时间设置; 4、ALARM(闹钟)键,用于确定新的闹钟时间设置,或显示已设置的闹钟时间; 5、扬声器,在当前时钟时间与闹钟时间相同时,发出蜂鸣声 基本要求: 1、计时功能:这是本计时器设计的基本功能,每隔一分钟计时一次,并在显示屏上显示当前时间。 2、闹钟功能:如果当前时间与设置的闹钟时间相同,则扬声器发出蜂鸣声。 3、设置新的计时器时间:用户用数字键输入新的时间,然后按TIME键确认。在输入过程中,输入数字在显示屏上从右到左依次显示。例如,用户要设置新的时间12:34,则按顺序输入“1”,“2”,“3”,“4”,与之对应,显示屏上依次显示的信息为:“1”,“12”,“123”,“1234。如果用户在输入任意几个数字后较长时间内,例如5 s,没有按任何键,则计时器恢复到正常的计时显示状态。 主要参考资料: [1] 潘松著.EDA技术实用教程(第二版). 北京:科学出版社,2005[2] 康华光主编电子技术基础 模拟部分 北京:高教出版社[3] 阎石主编数字电子技术基础 北京:高教出版社完成期限 指导教师 专业负责人 年 月日 二、设计步骤和调试过程 1、总体设计电路 (1) CLK为外部时钟信号,RESET为复位信号. (2) 当KEY为高电平(KEY= 1)时,表示用户按下数字键(0~9). (3) 当ALARM_BUTTON为高电平时,表示用户按下ALARM键. (4) 当TIME_BUTTON为高电平时,表示用户按下TIME键. (5) 当LOAD_NEW_A为高电平时,控制(闹钟时间寄存器)加载新的闹钟时间值. (6) 当LOAD_NEW_C为高电平时,控制(时钟计数器)设置新的时间值. (7) 当SHOW_NEW_TIME为高电平时,控制(七段数码显示电路)显示新的时间值,即用户通过数字键输入的时间;否则,当SHOW_NEW_TIME为低电平时,根据SHOW_A信号的值控制显示当前时间或闹钟时间. 根据设计要求及端口设置,需要五个状态来实现: S0:表示电路初态即正常时钟计数状态,完成前面设计功能 (1) 的工作. S1:接收键盘输入状态.在状态S0时用户按下数字键后进入此状态.在此状态下,显示屏上显示的是用户键入的数字. S2:设置新的闹钟时间.在状态S1时用户按下ALARM键后进入此状态. S3:设置新的计时器时间.在状态S1时用户按下TIME键后进入此状态. S4:显示闹钟时间.在状态S0时用户直接按下ALARM键后进入此状态. 在此状态下,显示屏上显示的是所设置的闹钟时间.注意:在此状态下,用户按下ALARM键后,显示屏上保持显示闹钟时间,经过一段时间以后,再返回状态S0。 模块设计和相应模块程序 (1)顶层文件: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY clock IS PORT ( CLK12MHZ : IN STD_LOGIC; CLK8HZ : IN STD_LOGIC; SPKOUT : OUT STD_LOGIC; CLK : IN STD_LOGIC; KEY1 : IN STD_LOGIC; KEY2 : IN STD_LOGIC_VECTOR(1 DOWNTO 0); H1,H2,M1,M2,S1,S2: OUT STD_LOGIC_VECTOR(3 DOWNTO 0)); END; ARCHITECTURE o

文档评论(0)

pangzilva + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档