VHDL__硬件描述语言ztt.ppt

  1. 1、本文档共113页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
VHDL__硬件描述语言ztt

entity half_adder is port(a,b:in bit; s,c:bit); end half_adder; architecture dataflow of half_adder is begin s=a xor b; c=a and b; end dataflow; 底层设计可以放在另外的文件中或者与顶层设计放在同一个文件中。 VHDL的时序描述 电路的时钟控制 上升沿:clk’EVENT AND clk=‘1’ 下降沿:clk’EVENT AND clk=‘0’ PROCESS(时钟信号名[,其它敏感信号]) BEGIN IF 时钟边沿表达式 THEN {语句;} END IF; END PROCESS; PROCESS BEGIN WAIT ON 时钟信号名 UNTIL 时钟边沿表达式 {语句;} END PROCESS; 触发器:D型 D Q CP Q --************************ Library IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; --************************ ENTITY D_FLIP IS PORT( CP,D :IN STD_LOGIC; Q :OUT STD_LOGIC ); END D_FLIP; ARCHITECTURE A OF D_FLIP IS BEGIN PROCESS(CP) BEGIN IF CP’EVENT AND CP=‘1’ THEN Q=D; END IF; END PROCESS; END A; PROCESS(时钟信号名) BEGIN IF 时钟边沿表达式 AND 复位置位条件表达式 THEN [复位/置位语句;] ELSE [其它执行语句;] END IF; END PROCESS; 复位/置位方式 同步1 同步2 PROCESS BEGIN WAIT ON 时钟信号名 UNTIL 时钟边沿表达式 IF 复位/置位条件表达式 THEN [复位/置位语句;] ELSE [其它执行语句;] END IF; END PROCESS; 异步 PROCESS(时钟信号,复位/置位信号) BEGIN IF 复位/置位条件表达式 THEN [复位/置位语句;] ELSIF 时钟边沿表达式 THEN [其它执行语句;] END IF; END PROCESS; 试设计一个(3 bit )的可逆计数器COUNTER3BIT.VHD Library IEEE; USE IEEE.STD_LOGIC-1164.ALL USE IEEE.STD_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; --****************** ENTITY CH6_2_3b IS PORT( CP,DIR :IN STD_LOGIC; Q:OUT STD_LOGIC_VECTOR(2 DOWNTO 0) --counter ); End ch6_2_3b; Architecture a of ch6_2_3b IS SIGNAL QN:STD_LOGIC_VECTOR(2 DOWNTO 0); BEGIN process(cp) begin if cp’event and cp=‘1’ then if dir=‘0’ then QN=QN+1; else QN=QN-1; END if; END if end process; Q=QN; END A; 例5.18 Moore型电路的描述 S1/01 S2/10 S4/00 S3/11 1 1 0 0 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity moore is port(clk,datain,re

文档评论(0)

pangzilva + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档