第8章 应用VHDL语言方法设计 简易正弦波信号发生器 《EDA技术》PPT 教学课件.ppt

第8章 应用VHDL语言方法设计 简易正弦波信号发生器 《EDA技术》PPT 教学课件.ppt

  1. 1、本文档共57页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
第8章 应用VHDL语言方法设计 简易正弦波信号发生器 《EDA技术》PPT 教学课件

下一页 上一页 §8.3 相关技术基本知识与基本技能 begin if pevent and p= 1 then if d=0 then tt=tt+ 1; else tt=tt-1; end if; end if; end process; y=bb+tt; end choice; 下一页 上一页 §8.3 相关技术基本知识与基本技能 三、波形数据存储参考设计 [例8.3 ] D_rom.vhd library ieee; use ieee.std_logic_1164.a11; use ieee.std_logic_ unsigned.all; entity D_ rom is port(a :in integer range 0 to 127; D:out integer range 0 to 255); end; architecture choice of d rom is begin 下一页 上一页 process(a) begin CASE a IS WHEN 00=D=255; WHEN 01=D=251; WHEN 02=D=247; WHEN 03=D=243; WHEN 04=D=239; WHEN O5=D=235; WHEN 06=D=231; WHEN 07=D=227; WHEN 08=D=223; WHEN 09=D=219: WHEN 10=D=215; WHEN 11=D=211; WHEN 12=D=207; WHEN 13=D=203; WHEN 14=D=199; WHEN 15=D=195; WHEN 16=D=191; WHEN 17=D=187; §8.3 相关技术基本知识与基本技能 WHEN 18=D=183; WHEN 19=D=179; WHEN 20=D=175; WHEN 21=D=171; WHEN 22=D=167; WHEN 23=D=163; WHEN 24=D=159; WHEN 25=D=155; WHEN 26=D=151; WHEN 27=D=147; WHEN 28=D=143; WHEN 29=D=139; WHEN 30=D=135; WHEN 31=D=131; WHEN 32=D=127; WHEN 33=D=123; WHEN 34=D=119; WHEN 35=D=115; WHEN 36=D=111; WHEN 37=D=107; WHEN 38=D=103; WHEN 39=D=99; WHEN 40=D=95; WHEN 41=D=91; 下一页 上一页 §8.3 相关技术基本知识与基本技能 WHEN 42=D=87; WHEN 43=D=83; WHEN 44=D=79; WHEN 45=D=75; WHEN 46=D=71; WHEN 47=D=67; WHEN 48=D=63; WHEN 49=D=59; WHEN 50=D=55; WHEN 51=D=51; WHEN 52=D=47; WHEN 53=D=43; WHEN 54=D=39; WHEN 55=D=35; WHEN 56=D=31; WHEN 57=D=27; WHEN 58=D=23; WHEN 59=D=19; WHEN 60=D=15; WHEN 61=D=11; WHEN 62=D=7; WHEN 63=D=3; WHEN 64=D=0; WHEN 65=D=3; 下一页 上一页 §8.3 相关技术基本知识与基本技能 WHEN 66=D=7; WHEN 67=D=11; WHEN 68=D=15; WHEN 69=D=19;

您可能关注的文档

文档评论(0)

qiwqpu54 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档