具有篮球倒计时的数字钟.doc

  1. 1、本文档共17页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
具有篮球倒计时的数字钟

VHDL语言及数字电路 课程设计 说 明 书 设计题目 具有篮球倒计时的数字钟 系 别 机电系 专业班级 10应用电子技术 学生姓名 杨华培 学 号 1010401028 指导教师 吴小玲老师 日 期 2012. 06. 25 一. 项目要求 例如: 设计一个电子数字钟,具体要求如下: 有调时,调分按键。 具有时钟复位,倒计时复位按键。 设有一个时钟模式和倒计时模式的切换键。 倒计时分别从14秒或24秒倒计,计到0是有报警 二.设计方案 项目分析 本设计是基于ACEX1K系列芯片设计的。显示界面为一个8位的LED数码管,时间显示方式为8位同时显示,即显示状态为:88-88-88。显示的时间制为24小时制。当按下切换键时,显示倒计时模式为两位数码管显示。本设计有7个按键,分别为clr(选择24秒倒计时),pld(选择14秒倒计时),enb(倒计时使能)setmin(数字钟调分),sethour(数字钟调时),reset(数字钟复位),k(数字钟和倒计时切换键)。 2.系统设计 2.1设计方案: 数字钟模块: 蓝球倒计时模块: 总体模块: 2.2 各模块分析 2.2.1模块一(时钟模块) 该模块主要有两个小模块组成,分别为计时模块和模块,程序如下: --计数模块— --(1)24计数器 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity hour is port(clk,reset:in std_logic; hour_l:out std_logic_vector(3 downto 0); hour_h:out std_logic_vector(3 downto 0)); end hour; architecture abc of hour is signal count_l:std_logic_vector(3 downto 0); signal count_h:std_logic_vector(3 downto 0); begin hour_l=count_l; hour_h=count_h; process(clk,reset) begin if(reset=0)then count_l=0000;count_h=0000;--复位 elsif(clkevent and clk=1)then if(count_h=0010and count_l=0011)then --满23时,个位、十位清零; count_h=0000;count_l=0000; elsif(count_l=1001)then --十位不为2,个位为9时;个位清零、十位加1 count_l=0000;count_h=count_h+1; else count_l=count_l+1; --其它情况下,个位加1,十位不变 end if; end if; end process; end abc; --(2)60计数器 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity minute is port(clk,reset,sethour:in std_logic; --时钟信号、复位信号、时间设置信号 enhour:out std_logic; minout_l:out std_logic_vector(3 downto 0); minout_h:out std_logic_vector(3 downto 0)); end minute; architecture abc of minute is signal count_l:std_logic_vector(3 downto 0); signal count_h:std_logic_vector(3 downto 0); signal enhour_1:std_logic; begin

文档评论(0)

xcs88858 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

版权声明书
用户编号:8130065136000003

1亿VIP精品文档

相关文档