流水灯VHDL.doc

  1. 1、本文档共5页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
流水灯VHDL

--/***************************************************************************** -- * 文件: counter.vhd -- * 模块: 计数器 -- * 版本: version 1.0 -- * -- * 功能说明: -- * 对输入时钟按照参数指定的最大值进行计数,并输出计数值 -- * -- * 端口说明: -- * 输出 -- * o - 每个输入时钟到来后加1,达到计数器模值UNIT-1后恢复为0 -- * -- * 输入 -- * i - 待计数的输入脉冲 -- * clk - 时钟 -- * rst - 复位信号,低电平有效 -- * -- * 双向 -- * 无 -- * -- * 参数说明: -- * UNIT - 计数器输出的最大值+1 -- * COUNTER_WIDTH - UNIT值位宽 -- * -- * 变更记录: -- * 2011/8/20. 新建 -- * -- *****************************************************************************/ library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity counter is generic ( UNIT : integer := 16; --计数器模值 COUNTER_WIDTH : integer := 4 --计数器位宽 ); port ( o : out std_logic_vector(COUNTER_WIDTH-1 downto 0); i : in std_logic; clk : in std_logic; rst : in std_logic ); end counter; architecture counter_architecture of counter is signal counter : std_logic_vector(COUNTER_WIDTH-1 downto 0); signal din_1 : std_logic; signal i_posedge : std_logic; begin o = counter; process(clk, rst) begin if (rst = 0) then din_1 = 1; elsif(clkevent and clk = 0) then i_posedge = (not din_1) and i; din_1 = i; end if;--clkevent end process; process(clk, rst) begin if (rst = 0) then counter = (others=0); elsif(clkevent and clk = 1) then if (i_posedge = 1) then if (counter = UNIT-1) then counter = (others=0); else counter = counter+1; end if; end if; end if; end process; end counter_architecture; --/***************************************************************************** -- * 文件: led_drv.vhd -- * 模块: led驱动 -- * -- * 功能说明: -- * 将输入的4位二进制数转为8位可驱动led的二进制位。 -- * 端口说明: -- * 输出参数 -- * led - 8位可驱动led的二进制位 -- * -- * 输入参数 -- * data - 4位二进制数 -- * -- * 双向 -- * 无 -- * -

文档评论(0)

jgx3536 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

版权声明书
用户编号:6111134150000003

1亿VIP精品文档

相关文档