二-十进制编码器.ppt

  1. 1、本文档共24页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
二-十进制编码器

项目八 组合逻辑电路 知识目标 1、了解组合逻辑电路的种类,掌握组合逻辑电路的分析方法和步骤。 2、了解编码器的基本功能。 3、了解译码器的基本功能。 4、了解常用数码显示器件的基本结构和工作原理。 技能目标 1、会正确使用集成编码器。 2、会正确使用集成译码器。 3、能搭接数码管显示电路。 4、能根据功能要求设计逻辑电路,安装电路。 项目8.1 组合逻辑的基本知识 学习目标 1、掌握组合逻辑电路的分析方法和步骤; 2、能分析简单逻辑电路的逻辑功能; 3、了解组合逻辑电路设计的基本方法和步骤。 8.1.1 组合逻辑电路的分析方法 组合逻辑电路的分析,是指基于逻辑电路图,分析明确该电路的基本功能的过程。理论上讲,逻辑电路图本身就是逻辑功能的一种表达方式,但许多情况下,用逻辑电路图表达的逻辑功能不够直观形象,往往需要将其转化成逻辑表达式或是真值表的形式,以使逻辑更加直观、确定。组合逻辑电路的分析一般步骤为: 1、根据逻辑电路图,由输入到输出逐级写出逻辑表达式。 2、化简逻辑表达式,得到最简逻辑表达式。 3、根据最简逻辑表达式列出真值表。 4、根据所列真值表,分析确定电路的基本逻辑功能。 项目8.1 组合逻辑的基本知识 例7-1分析图8-1三人表决器电路的逻辑功能。 解:第一根据电路逐级写出逻辑表达式 Y1= Y2= Y3= Y= 第二步:化简逻辑表 Y=AB+BC+AC 第三步:根据化简后的逻辑表达式列出表真值。 第四步:从真值表中可看出三输入中至少有两个或以上的输入为1 时,输出才为1,可知此电路为一少数服从多数的三人表决器。 8.1.2 组合逻辑电路的设计 与组合逻辑电路的分析相反,逻辑电路的设计是根据给定的逻辑功能要求,设计出实现该功能的逻辑电路。组合逻辑电路的设计可按下述步骤进行: 1、逻辑状态赋值。 2、根据事件的因果关系,列出输入和输出对应的真值表。 3、按真值表写出逻辑表达式。 4、将逻辑表达式化简变换成合理的逻辑表达式。 5、根据化简或变换后合理的逻辑表达式,画出逻辑电路图。 项目8.1 组合逻辑的基本知识 例:某写字楼控制室有3个报警灯: (火警)、 (盗警)和 (一般业务),按事态轻重缓急要求,有多个报警同时出现时,在同一时间只能有一个信号通过,首先接通的是火警信号,其次为盗警信号,最后是日常一般业务信号。试按照上述要求设计一个信号控制电路。要求用集成门电路74LS00(每片含四个2输入端与非门)实现。 解:(1)逻辑状态赋值 按电路设计要求,该电路有三个输出: (火警)、 (盗警)和 (一般),应该对应有3个输入,分别用 、 、 表示。对于输入,设有信号为逻辑1,没信号为逻辑0。对于输出,设灯亮为逻辑1,灯不亮为逻辑0。 项目8.1 组合逻辑的基本知识 (2)列真值表 根据所设计电路功能要求给定的逻辑,列出该电路的真值表。表中“×”表示取任意值,即该项输入对输出不产生影响。 (3)由真值表写出各输出的逻辑表达式 项目8.1 组合逻辑的基本知识 (4)这三个表达式已为最简,不需化简。但设计根据要求,需要用非门和与门实现,且L2需用三输入端与门才能实现,不符合设计要求,故将上式转换为与非表达式。 (5)画出逻辑电路图如图所示,可用两片集成与非门74LS00来实现。 项目8.2 编码器 学习目标 1、熟知编码器的基本功能和常见类型; 2、了解二进制编码器、二-十进制编码器的基本功能和真值表; 3、理解优先编码器的工作特点,掌握二-十进制优先编码器; 4、74LS147的引脚功能及应用方法,了解74LS138的扩展应用。 8.2.1 二-十进制编码器 一、二进制编码器 用n位二进制代码对2n个信号进行编码的电路,称为二进制编码器;编码器在任意时刻只能对一个输入信号编码,即8个输入中只能有一个输入有效; 1、3位二进制编码器的真值表如下图所示: 项目8.2 编码器 2、根据真值表可以写出逻辑函数表达式 3、上述逻辑函数表达式已

您可能关注的文档

文档评论(0)

xiaozu + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档